Welcome to mirror list, hosted at ThFree Co, Russian Federation.

github.com/ClusterM/coolgirl-famicom-multicart.git - Unnamed repository; edit this file 'description' to name the repository.
summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorAlexey 'Cluster' Avdyukhin <clusterrr@clusterrr.com>2016-05-31 08:16:23 +0300
committerAlexey 'Cluster' Avdyukhin <clusterrr@clusterrr.com>2016-05-31 08:16:29 +0300
commit1228909ec142991dece1102cd3b3f252f128a714 (patch)
treea25e9d7de9475f56a8c9a5ace39ce89aa8be94cd
parent657c89186fa86fb377ea800607ff13f489aaf9c5 (diff)
New generation!
-rw-r--r--CoolGirl.qpf25
-rw-r--r--CoolGirl.qsf214
-rw-r--r--CoolGirl.v203
-rw-r--r--board/BoardOutline.gbr12
-rw-r--r--board/Bottom.gbr6302
-rw-r--r--board/BottomMask.gbr431
-rw-r--r--board/BottomSilk.gbr1106
-rw-r--r--board/Through.drl527
-rw-r--r--board/Top.gbr7077
-rw-r--r--board/TopMask.gbr602
-rw-r--r--board/TopSilk.gbr2556
-rw-r--r--board/coolgirl.dchbin290059 -> 0 bytes
-rw-r--r--board/coolgirl.dipbin499497 -> 479746 bytes
-rw-r--r--mappers.vh443
14 files changed, 9375 insertions, 10123 deletions
diff --git a/CoolGirl.qpf b/CoolGirl.qpf
index 0eca6b4..6519ccc 100644
--- a/CoolGirl.qpf
+++ b/CoolGirl.qpf
@@ -1,29 +1,30 @@
# -------------------------------------------------------------------------- #
#
-# Copyright (C) 1991-2013 Altera Corporation
+# Copyright (C) 1991-2015 Altera Corporation. All rights reserved.
# Your use of Altera Corporation's design tools, logic functions
# and other software and tools, and its AMPP partner logic
# functions, and any output files from any of the foregoing
# (including device programming or simulation files), and any
# associated documentation or information are expressly subject
# to the terms and conditions of the Altera Program License
-# Subscription Agreement, Altera MegaCore Function License
-# Agreement, or other applicable license agreement, including,
-# without limitation, that your use is for the sole purpose of
-# programming logic devices manufactured by Altera and sold by
-# Altera or its authorized distributors. Please refer to the
-# applicable agreement for further details.
+# Subscription Agreement, the Altera Quartus Prime License Agreement,
+# the Altera MegaCore Function License Agreement, or other
+# applicable license agreement, including, without limitation,
+# that your use is for the sole purpose of programming logic
+# devices manufactured by Altera and sold by Altera or its
+# authorized distributors. Please refer to the applicable
+# agreement for further details.
#
# -------------------------------------------------------------------------- #
#
-# Quartus II 64-Bit
-# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
-# Date created = 02:44:40 January 07, 2016
+# Quartus Prime
+# Version 15.1.0 Build 185 10/21/2015 SJ Lite Edition
+# Date created = 19:53:22 May 05, 2016
#
# -------------------------------------------------------------------------- #
-QUARTUS_VERSION = "13.0"
-DATE = "02:44:40 January 07, 2016"
+QUARTUS_VERSION = "15.1"
+DATE = "19:53:22 May 05, 2016"
# Revisions
diff --git a/CoolGirl.qsf b/CoolGirl.qsf
index c96425d..e634dec 100644
--- a/CoolGirl.qsf
+++ b/CoolGirl.qsf
@@ -1,24 +1,25 @@
# -------------------------------------------------------------------------- #
#
-# Copyright (C) 1991-2013 Altera Corporation
+# Copyright (C) 1991-2015 Altera Corporation. All rights reserved.
# Your use of Altera Corporation's design tools, logic functions
# and other software and tools, and its AMPP partner logic
# functions, and any output files from any of the foregoing
# (including device programming or simulation files), and any
# associated documentation or information are expressly subject
# to the terms and conditions of the Altera Program License
-# Subscription Agreement, Altera MegaCore Function License
-# Agreement, or other applicable license agreement, including,
-# without limitation, that your use is for the sole purpose of
-# programming logic devices manufactured by Altera and sold by
-# Altera or its authorized distributors. Please refer to the
-# applicable agreement for further details.
+# Subscription Agreement, the Altera Quartus Prime License Agreement,
+# the Altera MegaCore Function License Agreement, or other
+# applicable license agreement, including, without limitation,
+# that your use is for the sole purpose of programming logic
+# devices manufactured by Altera and sold by Altera or its
+# authorized distributors. Please refer to the applicable
+# agreement for further details.
#
# -------------------------------------------------------------------------- #
#
-# Quartus II 64-Bit
-# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
-# Date created = 02:44:41 January 07, 2016
+# Quartus Prime
+# Version 15.1.0 Build 185 10/21/2015 SJ Lite Edition
+# Date created = 19:53:22 May 05, 2016
#
# -------------------------------------------------------------------------- #
#
@@ -30,115 +31,122 @@
# assignment_defaults.qdf
#
# 2) Altera recommends that you do not modify this file. This
-# file is updated automatically by the Quartus II software
+# file is updated automatically by the Quartus Prime software
# and any changes you make may be lost or overwritten.
#
# -------------------------------------------------------------------------- #
set_global_assignment -name FAMILY "MAX II"
-set_global_assignment -name DEVICE EPM570T100C5
+set_global_assignment -name DEVICE EPM1270T144C5
set_global_assignment -name TOP_LEVEL_ENTITY CoolGirl
-set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"
-set_global_assignment -name PROJECT_CREATION_TIME_DATE "02:44:41 JANUARY 07, 2016"
+set_global_assignment -name ORIGINAL_QUARTUS_VERSION 15.1.0
+set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:53:22 MAY 05, 2016"
set_global_assignment -name LAST_QUARTUS_VERSION 15.1.0
+set_global_assignment -name VERILOG_FILE CoolGirl.v
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP
-set_global_assignment -name DEVICE_FILTER_PIN_COUNT 100
+set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1"
set_global_assignment -name POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR 3.3V
-set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVCMOS"
-set_location_assignment PIN_68 -to cpu_addr_in[14]
-set_location_assignment PIN_69 -to cpu_addr_in[13]
-set_location_assignment PIN_12 -to cpu_addr_in[12]
-set_location_assignment PIN_6 -to cpu_addr_in[11]
-set_location_assignment PIN_5 -to cpu_addr_in[10]
-set_location_assignment PIN_4 -to cpu_addr_in[9]
-set_location_assignment PIN_3 -to cpu_addr_in[8]
-set_location_assignment PIN_2 -to cpu_addr_in[7]
-set_location_assignment PIN_1 -to cpu_addr_in[6]
-set_location_assignment PIN_100 -to cpu_addr_in[5]
-set_location_assignment PIN_99 -to cpu_addr_in[4]
-set_location_assignment PIN_98 -to cpu_addr_in[3]
-set_location_assignment PIN_97 -to cpu_addr_in[2]
-set_location_assignment PIN_96 -to cpu_addr_in[1]
-set_location_assignment PIN_95 -to cpu_addr_in[0]
-set_location_assignment PIN_91 -to cpu_addr_out[26]
-set_location_assignment PIN_92 -to cpu_addr_out[25]
-set_location_assignment PIN_18 -to cpu_addr_out[24]
-set_location_assignment PIN_17 -to cpu_addr_out[23]
-set_location_assignment PIN_71 -to cpu_addr_out[22]
-set_location_assignment PIN_20 -to cpu_addr_out[21]
-set_location_assignment PIN_19 -to cpu_addr_out[20]
-set_location_assignment PIN_72 -to cpu_addr_out[19]
-set_location_assignment PIN_73 -to cpu_addr_out[18]
-set_location_assignment PIN_89 -to cpu_addr_out[17]
-set_location_assignment PIN_16 -to cpu_addr_out[16]
-set_location_assignment PIN_15 -to cpu_addr_out[15]
-set_location_assignment PIN_8 -to cpu_addr_out[14]
-set_location_assignment PIN_7 -to cpu_addr_out[13]
-set_location_assignment PIN_87 -to cpu_data_in[7]
-set_location_assignment PIN_86 -to cpu_data_in[6]
-set_location_assignment PIN_85 -to cpu_data_in[5]
-set_location_assignment PIN_84 -to cpu_data_in[4]
-set_location_assignment PIN_83 -to cpu_data_in[3]
-set_location_assignment PIN_82 -to cpu_data_in[2]
-set_location_assignment PIN_81 -to cpu_data_in[1]
-set_location_assignment PIN_78 -to cpu_data_in[0]
-set_location_assignment PIN_64 -to cpu_rw_in
-set_location_assignment PIN_77 -to flash_oe
-set_location_assignment PIN_21 -to flash_we
-set_location_assignment PIN_66 -to irq
-set_location_assignment PIN_70 -to m2
-set_location_assignment PIN_47 -to ppu_addr_in[13]
-set_location_assignment PIN_48 -to ppu_addr_in[12]
-set_location_assignment PIN_49 -to ppu_addr_in[11]
-set_location_assignment PIN_50 -to ppu_addr_in[10]
-set_location_assignment PIN_51 -to ppu_addr_in[9]
-set_location_assignment PIN_53 -to ppu_addr_in[8]
-set_location_assignment PIN_55 -to ppu_addr_in[7]
-set_location_assignment PIN_56 -to ppu_addr_in[6]
-set_location_assignment PIN_54 -to ppu_addr_in[5]
-set_location_assignment PIN_52 -to ppu_addr_in[4]
-set_location_assignment PIN_43 -to ppu_addr_in[3]
-set_location_assignment PIN_42 -to ppu_addr_in[2]
-set_location_assignment PIN_41 -to ppu_addr_in[1]
-set_location_assignment PIN_40 -to ppu_addr_in[0]
-set_location_assignment PIN_36 -to ppu_addr_out[17]
-set_location_assignment PIN_38 -to ppu_addr_out[16]
-set_location_assignment PIN_35 -to ppu_addr_out[15]
-set_location_assignment PIN_33 -to ppu_addr_out[14]
-set_location_assignment PIN_30 -to ppu_addr_out[13]
-set_location_assignment PIN_29 -to ppu_addr_out[12]
-set_location_assignment PIN_27 -to ppu_addr_out[11]
-set_location_assignment PIN_28 -to ppu_addr_out[10]
-set_location_assignment PIN_57 -to ppu_ciram_a10
-set_location_assignment PIN_58 -to ppu_ciram_ce
-set_location_assignment PIN_61 -to ppu_rd_in
-set_location_assignment PIN_26 -to ppu_rd_out
-set_location_assignment PIN_62 -to ppu_wr_in
-set_location_assignment PIN_34 -to ppu_wr_out
-set_location_assignment PIN_67 -to romsel
-set_location_assignment PIN_76 -to sram_ce
-set_location_assignment PIN_75 -to sram_oe
-set_location_assignment PIN_74 -to sram_we
+set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)"
+set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
+set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
set_global_assignment -name AUTO_RESTART_CONFIGURATION OFF
set_global_assignment -name ENABLE_DEVICE_WIDE_RESET ON
-set_global_assignment -name USE_CONFIGURATION_DEVICE ON
-set_global_assignment -name VERILOG_INPUT_VERSION SYSTEMVERILOG_2005
-set_global_assignment -name VERILOG_SHOW_LMF_MAPPING_MESSAGES OFF
-set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to romsel
-set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to cpu_rw_in
-set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ppu_rd_in
-set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR"
-set_global_assignment -name OPTIMIZATION_MODE BALANCED
set_global_assignment -name ENABLE_OCT_DONE OFF
set_global_assignment -name ENABLE_CONFIGURATION_PINS OFF
set_global_assignment -name ENABLE_BOOT_SEL_PIN OFF
-set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER OFF
-set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS OUTPUT DRIVING GROUND"
-set_global_assignment -name VERILOG_INCLUDE_FILE mappers.vh
-set_global_assignment -name VERILOG_FILE CoolGirl.v
-set_global_assignment -name CDF_FILE output_files/CoolGirl.cdf \ No newline at end of file
+set_global_assignment -name USE_CONFIGURATION_DEVICE ON
+set_location_assignment PIN_1 -to cpu_addr_out[18]
+set_location_assignment PIN_2 -to cpu_addr_out[25]
+set_location_assignment PIN_3 -to cpu_addr_out[19]
+set_location_assignment PIN_4 -to cpu_addr_out[26]
+set_location_assignment PIN_5 -to cpu_addr_out[22]
+set_location_assignment PIN_6 -to cpu_addr_out[17]
+set_location_assignment PIN_7 -to flash_we
+set_location_assignment PIN_8 -to cpu_addr_out[21]
+set_location_assignment PIN_11 -to cpu_addr_out[20]
+set_location_assignment PIN_12 -to cpu_addr_out[13]
+set_location_assignment PIN_14 -to cpu_addr_out[15]
+set_location_assignment PIN_13 -to cpu_addr_out[14]
+set_location_assignment PIN_15 -to cpu_addr_out[16]
+set_location_assignment PIN_16 -to cpu_addr_out[23]
+set_location_assignment PIN_18 -to cpu_addr_out[24]
+set_location_assignment PIN_42 -to ppu_addr_out[16]
+set_location_assignment PIN_43 -to ppu_rd_out
+set_location_assignment PIN_44 -to ppu_addr_out[10]
+set_location_assignment PIN_45 -to ppu_addr_out[11]
+set_location_assignment PIN_48 -to ppu_addr_out[12]
+set_location_assignment PIN_89 -to ppu_addr_in[12]
+set_location_assignment PIN_72 -to ppu_addr_in[13]
+set_location_assignment PIN_50 -to ppu_wr_out
+set_location_assignment PIN_51 -to ppu_addr_out[14]
+set_location_assignment PIN_52 -to ppu_addr_out[15]
+set_location_assignment PIN_53 -to ppu_addr_in[6]
+set_location_assignment PIN_55 -to ppu_addr_in[7]
+set_location_assignment PIN_57 -to ppu_addr_in[5]
+set_location_assignment PIN_58 -to ppu_addr_in[8]
+set_location_assignment PIN_59 -to ppu_addr_in[4]
+set_location_assignment PIN_63 -to ppu_addr_in[3]
+set_location_assignment PIN_68 -to ppu_addr_in[2]
+set_location_assignment PIN_69 -to ppu_addr_in[1]
+set_location_assignment PIN_70 -to ppu_addr_in[0]
+set_location_assignment PIN_71 -to ppu_addr_out[17]
+set_location_assignment PIN_73 -to ppu_addr_in[10]
+set_location_assignment PIN_74 -to ppu_addr_in[11]
+set_location_assignment PIN_81 -to ppu_not_a13
+set_location_assignment PIN_94 -to ppu_ciram_ce
+set_location_assignment PIN_95 -to ppu_ciram_a10
+set_location_assignment PIN_96 -to ppu_rd_in
+set_location_assignment PIN_101 -to ppu_wr_in
+set_location_assignment PIN_102 -to irq
+set_location_assignment PIN_103 -to cpu_rw_in
+set_location_assignment PIN_106 -to romsel
+set_location_assignment PIN_107 -to sram_we
+set_location_assignment PIN_108 -to sram_ce
+set_location_assignment PIN_110 -to cpu_addr_in[14]
+set_location_assignment PIN_111 -to cpu_addr_in[13]
+set_location_assignment PIN_112 -to flash_ce
+set_location_assignment PIN_114 -to flash_oe
+set_location_assignment PIN_117 -to cpu_addr_in[1]
+set_location_assignment PIN_118 -to cpu_addr_in[0]
+set_location_assignment PIN_119 -to cpu_data_in[0]
+set_location_assignment PIN_120 -to cpu_addr_in[3]
+set_location_assignment PIN_121 -to cpu_data_in[1]
+set_location_assignment PIN_122 -to cpu_addr_in[2]
+set_location_assignment PIN_123 -to cpu_data_in[2]
+set_location_assignment PIN_124 -to cpu_addr_in[4]
+set_location_assignment PIN_125 -to cpu_data_in[3]
+set_location_assignment PIN_127 -to cpu_data_in[4]
+set_location_assignment PIN_129 -to cpu_data_in[5]
+set_location_assignment PIN_131 -to cpu_addr_in[5]
+set_location_assignment PIN_132 -to cpu_data_in[6]
+set_location_assignment PIN_133 -to cpu_addr_in[6]
+set_location_assignment PIN_134 -to cpu_data_in[7]
+set_location_assignment PIN_137 -to cpu_addr_in[7]
+set_location_assignment PIN_138 -to cpu_addr_in[8]
+set_location_assignment PIN_139 -to cpu_addr_in[9]
+set_location_assignment PIN_140 -to cpu_addr_in[10]
+set_location_assignment PIN_141 -to cpu_addr_in[11]
+set_location_assignment PIN_142 -to cpu_addr_in[12]
+set_location_assignment PIN_143 -to sram_addr_out[13]
+set_location_assignment PIN_144 -to sram_addr_out[14]
+set_location_assignment PIN_60 -to ppu_addr_in[9]
+set_location_assignment PIN_91 -to m2
+set_location_assignment PIN_49 -to ppu_addr_out[13]
+set_location_assignment PIN_130 -to sram_oe
+set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to m2
+set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to ppu_rd_in
+set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to ppu_wr_in
+set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to irq
+set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to cpu_rw_in
+set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to romsel
+set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to sram_we
+set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to sram_ce
+set_global_assignment -name CDF_FILE CoolGirl.cdf
+set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to ppu_ciram_ce
+set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR"
+set_global_assignment -name OPTIMIZATION_MODE BALANCED \ No newline at end of file
diff --git a/CoolGirl.v b/CoolGirl.v
index 5233962..3a0adb2 100644
--- a/CoolGirl.v
+++ b/CoolGirl.v
@@ -1,33 +1,37 @@
module CoolGirl # (
- parameter USE_VRC2 = 1, // mappers #21, #22, #23, #25
- parameter USE_VRC2a = 0, // mapper #22
- parameter USE_VRC4_INTERRUPTS = 1, // for VRC4
- parameter USE_TAITO = 1, // mappers #33 & #48
- parameter USE_TAITO_INTERRUPTS = 1, // mapper #48
- parameter USE_SUNSOFT = 0, // mapper #69
- parameter USE_MAPPER_78 = 0, // mapper #78 - Holy Diver
- parameter USE_COLOR_DREAMS = 1, // mapper #11
- parameter USE_GxROM = 0, // mapper #66
- parameter USE_CHEETAHMEN2 = 1, // mapper #228
- parameter USE_FIRE_HAWK = 0, // for Fire Hawk only (mapper #71)
- parameter USE_TxSROM = 0, // mapper #118
- parameter USE_IREM_TAMS1 = 0, // mapper #97
- parameter USE_IREM_G101 = 0, // mapper #32
- parameter USE_MAPPER_87 = 0, // mapper #87
- parameter USE_MMC2 = 0, // mapper #9
- parameter USE_MMC4 = 0, // mapper #10
- parameter USE_MAPPER_093 = 0, // mapper #093
- parameter USE_MAPPER_189 = 0 // mapper #189
+ parameter USE_MAPPER_009_010 = 1, // mapper #9 - MMC2, #10 - MMC4
+ parameter USE_MAPPER_011 = 1, // mapper #11 - Color Dreams
+ parameter USE_MAPPER_018 = 1, // mapper #18
+ parameter USE_MAPPER_021_022_023_025 = 1, // mappers #21, #22, #23, #25 - VRC2, VRC4
+ parameter USE_MAPPER_022 = 1, // mapper #22 - VRC2a (shifted CHR lines)
+ parameter USE_VRC4_INTERRUPTS = 1, // for VRC4
+ parameter USE_MAPPER_032 = 1, // mapper #32 - IREM-G101
+ parameter USE_MAPPER_033_048 = 1, // mappers #33 & #48 - Taito
+ parameter USE_MAPPER_048_INTERRUPTS = 1, // mapper #48 - Taito
+ parameter USE_MAPPER_066 = 1, // mapper #66 - GxROM
+ parameter USE_MAPPER_069 = 1, // mapper #69 - Sunsoft
+ parameter USE_MAPPER_071 = 1, // mapper #71 (for Fire Hawk only)
+ parameter USE_MAPPER_078 = 1, // mapper #78 - Holy Diver
+ parameter USE_MAPPER_087 = 1, // mapper #87
+ parameter USE_MAPPER_090 = 1, // mapper #90 - JY, for Aladdin only
+ parameter USE_MAPPER_093 = 1, // mapper #93
+ parameter USE_MAPPER_097 = 1, // mapper #97 - IREM TAMS1
+ parameter USE_MAPPER_118 = 1, // mapper #118 - TxSROM
+ parameter USE_MAPPER_163 = 1, // mapper #163
+ parameter USE_MAPPER_189 = 1, // mapper #189
+ parameter USE_MAPPER_228 = 1 // mapper #228 - Cheetahmen II only
)
(
input m2,
input romsel,
input cpu_rw_in,
input [14:0] cpu_addr_in,
- input [7:0] cpu_data_in,
+ inout [7:0] cpu_data_in,
output [26:13] cpu_addr_out,
+ output [14:13] sram_addr_out,
output flash_we,
output flash_oe,
+ output flash_ce,
output sram_ce,
output sram_we,
output sram_oe,
@@ -39,152 +43,47 @@ module CoolGirl # (
output ppu_rd_out,
output ppu_wr_out,
output ppu_ciram_a10,
- //output ppu_ciram_ce,
+ input ppu_not_a13,
+ output ppu_ciram_ce,
output irq
);
- reg [26:14] cpu_base = 0;
- reg [18:14] cpu_mask = 0;
- reg [17:13] chr_mask = 0;
- reg [2:0] prg_mode = 0;
- reg map_rom_on_6000 = 0;
- reg [5:0] prg_bank_6000 = 0;
- reg [5:0] prg_bank_a = 0;
- reg [5:0] prg_bank_b = 6'b111101;
- reg [5:0] prg_bank_c = 6'b111110;
- reg [5:0] prg_bank_d = 6'b111111;
- reg [2:0] chr_mode = 0;
- reg [7:0] chr_bank_a = 0;
- reg [7:0] chr_bank_b = 1;
- reg [7:0] chr_bank_c = 2;
- reg [7:0] chr_bank_d = 3;
- reg [7:0] chr_bank_e = 4;
- reg [7:0] chr_bank_f = 5;
- reg [7:0] chr_bank_g = 6;
- reg [7:0] chr_bank_h = 7;
- reg [4:0] mapper = 0;
- reg [2:0] flags = 0;
- reg sram_enabled = 0;
- reg [1:0] sram_page = 0;
- reg chr_write_enabled = 0;
- reg prg_write_enabled = 0;
- reg [1:0] mirroring = 0;
- reg lockout = 0;
+ reg [7:0] new_dendy_init = 8'b11111111;
+ reg new_dendy = 0;
- // some common registers for all mappers
- reg [7:0] r0 = 0;
-
- assign cpu_addr_out[26:15] = {cpu_base[26:15] | (cpu_addr_mapped[18:15] & ~cpu_mask[18:15])};
- assign cpu_addr_out[14:13] = (~sram_enabled | map_rom_on_6000 | ~romsel | ~m2) ?
- {cpu_base[14] | (cpu_addr_mapped[14] & ~cpu_mask[14]), cpu_addr_mapped[13]}
- : sram_page[1:0];
+ assign cpu_addr_out[26:13] = {cpu_base[26:14] | (cpu_addr_mapped[20:14] & ~prg_mask[20:14]), cpu_addr_mapped[13]};
+ assign sram_addr_out[14:13] = sram_page[1:0];
assign ppu_addr_out[17:10] = {ppu_addr_mapped[17:13] & ~chr_mask[17:13], ppu_addr_mapped[12:10]};
- assign flash_we = cpu_rw_in | romsel | ~prg_write_enabled;
- assign flash_oe = ~(cpu_rw_in & m2 & (~romsel | (map_rom_on_6000 & cpu_addr_in[14] & cpu_addr_in[13])));
+ assign cpu_data_in = cpu_data_out_enabled ? cpu_data_out : 8'bZZZZZZZZ;
+ wire flash_ce_w = ~(~romsel | (m2 & map_rom_on_6000 & cpu_addr_in[14] & cpu_addr_in[13]));
+ assign flash_ce = flash_ce_w
+ | cpu_data_out_enabled;
+ assign flash_oe = (~cpu_rw_in | flash_ce_w)
+ & ~cpu_data_out_enabled; // to switch data direction
+ assign flash_we = cpu_rw_in | flash_ce_w | ~prg_write_enabled;
wire sram_ce_w = ~(cpu_addr_in[14] & cpu_addr_in[13] & m2 & romsel & sram_enabled & ~map_rom_on_6000);
assign sram_ce = sram_ce_w;
assign sram_we = cpu_rw_in | sram_ce_w;
- assign sram_oe = ~cpu_rw_in | sram_ce_w;
+ assign sram_oe = ~cpu_rw_in | sram_ce_w | cpu_data_out_enabled;
assign ppu_rd_out = ppu_rd_in | ppu_addr_in[13];
assign ppu_wr_out = ppu_wr_in | ppu_addr_in[13] | ~chr_write_enabled;
- assign irq = (irq_scanline_out | irq_cpu_out) ? 1'b0 : 1'bZ;
- //assign ppu_ciram_ce = 1'bZ; // for backward compatibility
+ assign ppu_ciram_ce = 1'bZ; // ppu_not_a13;
- // for scanline-based interrupts
- reg [7:0] irq_scanline_counter = 0;
- reg [1:0] a12_low_time = 0;
- reg irq_scanline_reload = 0;
- reg [7:0] irq_scanline_latch = 0;
- reg irq_scanline_reload_clear = 0;
- reg irq_scanline_enabled = 0;
- reg irq_scanline_value = 0;
- reg irq_scanline_ready = 0;
- reg irq_scanline_out = 0;
-
- // for CPU interrupts
- reg [15:0] irq_cpu_value = 0;
- reg irq_cpu_out = 0;
- reg [2:0] irq_cpu_control = 0;
- reg [7:0] vrc4_irq_latch = 0;
- reg [6:0] vrc4_irq_prescaler = 0;
- reg [1:0] vrc4_irq_prescaler_counter = 0;
- // for VRC
- wire vrc_2b_hi = cpu_addr_in[1] | cpu_addr_in[3] | cpu_addr_in[5] | cpu_addr_in[7];
- wire vrc_2b_low = cpu_addr_in[0] | cpu_addr_in[2] | cpu_addr_in[4] | cpu_addr_in[6];
- // for MMC2/MMC4
- reg ppu_latch0 = 0;
- reg ppu_latch1 = 0;
-
- reg writed;
-
- assign ppu_ciram_a10 = (USE_TxSROM & (mapper == 5'b10100) & flags[0]) ? ppu_addr_mapped[17] :
- (mirroring[1] ? mirroring[0] : (mirroring[0] ? ppu_addr_in[11] : ppu_addr_in[10])); // vertical / horizontal, 1Sa, 1Sb
+ always @ (posedge m2)
+ begin
+ if (new_dendy_init != 0)
+ new_dendy_init = new_dendy_init - 1'b1;
+ end
- wire [18:13] cpu_addr_mapped = (map_rom_on_6000 & romsel & m2) ? prg_bank_6000 :
- (
- prg_mode[2] ? (
- prg_mode[1] ? (
- // 11x - 0x8000(A)
- {prg_bank_a[5:2], cpu_addr_in[14:13]}
- ) : ( // prg_mode[1]
- prg_mode[0] ? (
- // 101 - 0x2000(C)+0x2000(B)+0x2000(A)+0x2000(D)
- cpu_addr_in[14] ? (cpu_addr_in[13] ? prg_bank_d : prg_bank_a) : (cpu_addr_in[13] ? prg_bank_b : prg_bank_c)
- ) : ( // prg_mode[0]
- // 100 - 0x2000(A)+0x2000(B)+0x2000(C)+0x2000(D)
- cpu_addr_in[14] ? (cpu_addr_in[13] ? prg_bank_d : prg_bank_c) : (cpu_addr_in[13] ? prg_bank_b : prg_bank_a)
- )
- )
- ) : ( // prg_mode[2]
- prg_mode[0] ? (
- // 0x1 - 0x4000(C) + 0x4000 (A)
- {cpu_addr_in[14] ? prg_bank_a[5:1] : prg_bank_c[5:1], cpu_addr_in[13]}
- ) : ( // prg_mode[0]
- // 0x0 - 0x4000(A) + 0x4000 (С)
- {cpu_addr_in[14] ? prg_bank_c[5:1] : prg_bank_a[5:1], cpu_addr_in[13]}
- )
- )
- );
-
- wire [17:10] ppu_addr_mapped = chr_mode[2] ? (
- chr_mode[1] ? (
- chr_mode[0] ? (
- // 111 - 0x400(A)+0x400(B)+0x400(C)+0x400(D)+0x400(E)+0x400(F)+0x400(G)+0x400(H)
- ppu_addr_in[12] ?
- (ppu_addr_in[11] ? (ppu_addr_in[10] ? chr_bank_h : chr_bank_g) :
- (ppu_addr_in[10] ? chr_bank_f : chr_bank_e)) : (ppu_addr_in[11] ? (ppu_addr_in[10] ? chr_bank_d : chr_bank_c) : (ppu_addr_in[10] ? chr_bank_b : chr_bank_a))
- ) : ( // chr_mode[0]
- // 110 - 0x800(A)+0x800(C)+0x800(E)+0x800(G)
- {ppu_addr_in[12] ?
- (ppu_addr_in[11] ? chr_bank_g[7:1] : chr_bank_e[7:1]) :
- (ppu_addr_in[11] ? chr_bank_c[7:1] : chr_bank_a[7:1]), ppu_addr_in[10]}
- )
- ) : ( // chr_mode[1]
- // 100 - 0x1000(A) + 0x1000(E)
- // 101 - 0x1000(A/B) + 0x1000(E/F) - MMC2 и MMC4
- {ppu_addr_in[12] ?
- (((USE_MMC2|USE_MMC4)&chr_mode[0]&ppu_latch1) ? chr_bank_f[7:2] : chr_bank_e[7:2]) :
- (((USE_MMC2|USE_MMC4)&chr_mode[0]&ppu_latch0) ? chr_bank_b[7:2] : chr_bank_a[7:2]),
- ppu_addr_in[11:10]}
- )
- ) : ( // chr_mode[2]
- chr_mode[1] ? (
- // 010 - 0x800(A)+0x800(C)+0x400(E)+0x400(F)+0x400(G)+0x400(H)
- // 011 - 0x400(E)+0x400(F)+0x400(G)+0x400(H)+0x800(A)+0x800(С)
- (ppu_addr_in[12]^chr_mode[0]) ?
- (ppu_addr_in[11] ?
- (ppu_addr_in[10] ? chr_bank_h : chr_bank_g) :
- (ppu_addr_in[10] ? chr_bank_f : chr_bank_e)
- ) : (
- ppu_addr_in[11] ? {chr_bank_c[7:1],ppu_addr_in[10]} : {chr_bank_a[7:1],ppu_addr_in[10]}
- )
- ) : ( // chr_mode[1]
- // 00x - 0x2000(A)
- {chr_bank_a[7:3], ppu_addr_in[12:10]}
- )
- );
+ always @ (negedge ppu_rd_in)
+ begin
+ if (new_dendy_init == 0 && (ppu_addr_in[13] != ~ppu_not_a13))
+ new_dendy = 1;
+ end
`include "mappers.vh"
endmodule
+
+
diff --git a/board/BoardOutline.gbr b/board/BoardOutline.gbr
index bc76fc3..08dbdfa 100644
--- a/board/BoardOutline.gbr
+++ b/board/BoardOutline.gbr
@@ -20,10 +20,14 @@ Y10750D1*
X41875D1*
Y11687D1*
X43437D1*
-Y18625D1*
-X39000Y23062D1*
-X8375D1*
-X3937Y18625D1*
+X43441Y13626D1*
+X34628D1*
+X33128Y15126D1*
+Y16938D1*
+X30752Y19314D1*
+Y21126D1*
+X10438D1*
+X3937Y14626D1*
Y11687D1*
X5500D1*
Y10750D1*
diff --git a/board/Bottom.gbr b/board/Bottom.gbr
index d48c906..ae8d347 100644
--- a/board/Bottom.gbr
+++ b/board/Bottom.gbr
@@ -1,25 +1,24 @@
G04 DipTrace 2.4.0.2*
%INBottom.gbr*%
%MOIN*%
-%ADD13C,0.0157*%
-%ADD14C,0.0118*%
-%ADD15C,0.0394*%
-%ADD16C,0.0059*%
+%ADD13C,0.0059*%
+%ADD14C,0.0157*%
+%ADD15C,0.0118*%
+%ADD16C,0.0394*%
%ADD17C,0.025*%
%ADD19R,0.0748X0.0748*%
-%ADD20C,0.0748*%
-%ADD21R,0.0354X0.0276*%
-%ADD22R,0.063X0.063*%
-%ADD23C,0.063*%
-%ADD24R,0.0276X0.0354*%
-%ADD25R,0.063X0.0709*%
-%ADD26R,0.0709X0.2559*%
-%ADD27R,0.0669X0.0669*%
-%ADD28C,0.0669*%
-%ADD30R,0.0118X0.063*%
-%ADD31R,0.063X0.0118*%
-%ADD33R,0.0236X0.1181*%
-%ADD39C,0.0276*%
+%ADD20C,0.0669*%
+%ADD21R,0.0787X0.1575*%
+%ADD22R,0.0354X0.0276*%
+%ADD23R,0.063X0.063*%
+%ADD24C,0.063*%
+%ADD25R,0.0276X0.0354*%
+%ADD26R,0.063X0.0709*%
+%ADD27R,0.0709X0.2559*%
+%ADD28R,0.0118X0.063*%
+%ADD29R,0.063X0.0118*%
+%ADD34R,0.0236X0.1181*%
+%ADD37C,0.0276*%
%FSLAX44Y44*%
G04*
G70*
@@ -28,3328 +27,2843 @@ G75*
G01*
%LNBottom*%
%LPD*%
-X16687Y10562D2*
+X22083Y11076D2*
D13*
-X14562D1*
-X12000D1*
-X9982D1*
-X9107Y9687D1*
-X7062D1*
-X6750Y10000D1*
-Y11938D1*
-Y15813D1*
-X8437Y17501D1*
-X9687D1*
-X14000Y21814D1*
-X21876D1*
-X33248D1*
-X33687Y21375D1*
-Y17312D1*
-X34187Y16812D1*
-X35316D1*
-X35812Y16316D1*
-Y12063D1*
-Y10000D1*
-X34565D1*
-X33250D1*
-X31062D1*
-X21876Y21814D2*
-D3*
-X21000Y12500D2*
-X21268D1*
-Y13501D1*
-X21251D1*
-X20250Y15062D2*
-X20437D1*
-X21251Y14248D1*
-Y13501D1*
-X33687Y17375D2*
-Y17312D1*
-X26018Y16149D2*
+Y10357D1*
+X22249Y10190D1*
+Y9750D1*
+X22186Y5399D2*
+Y8685D1*
+X22249Y8748D1*
+Y9238D1*
+X14438Y10313D2*
D14*
-X27181D1*
-D13*
-Y17087D1*
-X28262D1*
-X29049D1*
-X30383D1*
-Y16346D1*
-X29453D1*
-Y14771D1*
-Y13793D1*
-X29467Y13779D1*
-Y13687D1*
-X29062D1*
-Y12883D1*
-X27656D1*
-X27178Y13361D1*
-Y14968D1*
+Y10063D1*
+X12313D1*
+Y10313D1*
+X29877Y10125D2*
+Y9875D1*
+X27752D1*
+Y10125D1*
+X30315Y18126D2*
+X32065Y16376D1*
+Y9875D1*
+X31690D1*
+X29877D1*
+X8062D2*
+Y9938D1*
+X8187Y10063D1*
+X12313D1*
+X14438D2*
+X16063D1*
+Y10313D1*
+X18501Y12563D2*
+X18751D1*
+Y12688D1*
+X16688Y13688D2*
+X17313D1*
+X18439Y12563D1*
+X18501D1*
+X18439D2*
+X19564Y11438D1*
+X16063Y10063D2*
+X17438D1*
+Y10250D1*
+Y10313D1*
+X25232Y11076D2*
+D15*
+Y11978D1*
D14*
-X26018D1*
-Y13393D2*
-Y13395D1*
-X27144D1*
-X27178Y13361D1*
-X29837Y11937D2*
-Y12330D1*
-D13*
-Y12883D1*
-X29062D1*
-X29049Y11937D2*
+X26807D1*
+X28234D1*
+X28502Y12247D1*
+Y13320D1*
+X28600Y13418D1*
+D15*
+X29346D1*
+X26807Y11076D2*
+Y11978D1*
+X23264Y11076D2*
+Y11801D1*
D14*
-X29062D1*
-Y12330D1*
-D13*
-Y12883D1*
-X32081Y14771D2*
+X23252Y11813D1*
+Y12563D1*
+X24648D1*
+X25232Y11978D1*
+X20921Y13222D2*
+D15*
+X22405D1*
D14*
-X29453D1*
-X32081Y16346D2*
-X30383D1*
-X29049Y18000D2*
-Y17087D1*
-X28262Y18000D2*
-Y17087D1*
-X32081Y13590D2*
-Y13589D1*
-X30785D1*
-X30581Y13793D1*
-X29453D1*
-X30383Y17087D2*
-D13*
-Y17055D1*
-X35815Y12063D2*
-X35812D1*
-X8312Y10937D2*
-Y11438D1*
-X7812Y11938D1*
-X6750D1*
-X34565Y10426D2*
-Y10000D1*
-X12000Y10750D2*
-Y10562D1*
+X23064Y12563D1*
+X23252D1*
+X20921Y15190D2*
+D15*
+X22376D1*
+D14*
+Y14751D1*
+Y13205D1*
+X23064Y12563D1*
+X20921Y17159D2*
+D15*
+X22376D1*
+D14*
+Y15190D1*
+X22625D1*
+X22626Y15188D1*
+X25232Y19501D2*
+D15*
+Y18958D1*
+D14*
+X25502Y18689D1*
+Y18564D1*
+Y17159D1*
+X22376D1*
+X26413Y19501D2*
+D15*
+Y17159D1*
+D14*
+X25502D1*
+X29346Y14993D2*
+D15*
+X28307D1*
+D14*
+X28252Y14938D1*
+X27752D1*
+X27564Y14751D1*
+X22376D1*
+X25689Y18376D2*
+X25502Y18564D1*
+X23189Y20064D2*
+D15*
+X23264D1*
+Y19501D1*
+X32002Y10125D2*
+D14*
+X32065Y9875D1*
+X31690Y10375D2*
+Y9875D1*
+X29346Y16962D2*
+D15*
+X28604D1*
+X28377Y17188D1*
+X27752D1*
+D14*
+X27564Y17001D1*
+X26552D1*
+X26413Y17159D1*
+X14438Y9688D2*
+X12313D1*
X9186Y5399D2*
-Y9375D1*
-X6874D1*
-X6437Y9813D1*
-Y15938D1*
-X8312Y17813D1*
-X9500D1*
-X13813Y22126D1*
-X24876D1*
-X33373D1*
-X34002Y21497D1*
-Y17501D1*
-X34379Y17125D1*
-X35441D1*
-X36127Y16438D1*
-Y9375D1*
-X36441D1*
+Y9688D1*
+Y9000D1*
+X7000D1*
+X6437Y9563D1*
+Y14813D1*
+X6750Y15126D1*
+X27752Y9500D2*
+X29877D1*
+X30315Y18564D2*
+X32377Y16501D1*
+Y9500D1*
+X36315D1*
X38128Y7687D1*
Y7437D1*
X38187D1*
-X16687Y9937D2*
-X14562D1*
-X12000D1*
-X9812D1*
-X9250Y9375D1*
+X12313Y9688D2*
X9186D1*
-X31062D2*
-X33250D1*
-X34550D1*
-X35502D1*
-X36127D1*
-X24876Y22126D2*
-D3*
-X6437Y15938D2*
-Y16251D1*
-X6499D1*
-X9312Y12125D2*
-X8960D1*
-X8147Y12937D1*
-X35502Y9375D2*
-D3*
-X12000Y9750D2*
-Y9937D1*
-X34550Y9375D2*
-Y8901D1*
-X34565Y8887D1*
+X8688Y16313D2*
+X7648D1*
+Y15626D1*
+X29877Y9500D2*
+X31690D1*
+X32377D1*
+X17438D2*
+Y9688D1*
+X12313D1*
+X31690Y9500D2*
+Y9437D1*
+X14438Y9688D2*
+X17688D1*
+X17751Y9625D1*
+X32002Y9500D2*
+X32377D1*
X23186Y5399D2*
-D15*
+D16*
X24186D1*
-X13312Y13736D2*
+X8750Y13438D2*
D14*
-X13250D1*
-Y12500D1*
-X13125Y12375D1*
-Y11812D1*
+Y12938D1*
+Y14563D2*
+Y15626D1*
+Y14563D2*
+Y13438D1*
+Y12938D2*
+Y12188D1*
+X10250Y11737D2*
+X8825D1*
+X8750Y11813D1*
+Y12188D1*
+X8312Y17876D2*
+X7062Y16626D1*
+Y14563D1*
+X7648D1*
+X30315Y19001D2*
+X32690Y16626D1*
+Y14938D1*
+X34690Y12938D1*
+X35378D1*
+Y11500D1*
+X39323D1*
+X39816D1*
+X41378Y13063D1*
+X35393Y12543D2*
+Y11500D1*
+X39323Y12543D2*
+Y11500D1*
+X24752Y9238D2*
D13*
-Y12312D1*
-X9250Y14000D2*
-Y12937D1*
-X10143D1*
-X10343Y12738D1*
-X11268Y11812D1*
-X13125D1*
-X10343Y11767D2*
-Y12738D1*
-X9437Y17126D2*
-X8125Y15813D1*
-Y14022D1*
-X8147Y14000D1*
-X9437Y18251D2*
-X13625Y22439D1*
-X38060D1*
-X42687Y17812D1*
-X26625Y7687D2*
-D16*
-Y7812D1*
-X30230Y11418D1*
-Y11937D1*
-X26186Y5399D2*
-D15*
-X27186D1*
-X18001Y15688D2*
-D16*
-X17812Y15877D1*
-Y17437D1*
-X21063Y18189D2*
-X23061D1*
-X24875Y16375D1*
-X25989D1*
-X26018Y16346D1*
-X14062Y12750D2*
-X14312Y13000D1*
-Y13736D1*
-X14062Y12750D2*
-Y14812D1*
-X13812Y15062D1*
+Y8938D1*
+X26189Y7500D1*
+Y5402D1*
+X26186Y5399D1*
+X24445Y11076D2*
+Y10370D1*
+X24752Y10063D1*
+Y9750D1*
+X25877Y7375D2*
+X24439Y8813D1*
+Y9938D1*
+X24252Y10125D1*
+Y11072D1*
+X24248Y11076D1*
+X14250Y15438D2*
+Y14564D1*
+X14313Y14501D1*
+X14250Y15438D2*
Y16125D1*
-X17313Y19689D2*
-X17813Y20189D1*
-X25810D1*
-X27500Y18500D1*
-Y18025D1*
-X27474Y18000D1*
-X13562Y12875D2*
-Y16187D1*
-X13437Y16312D1*
-X13562D1*
-X13687Y16437D1*
-X14687D1*
-X14812Y16562D1*
-Y17437D1*
-X27687Y18015D2*
-X27671Y18000D1*
-X16938Y19689D2*
-X16875D1*
-X17500Y20314D1*
-X25935D1*
-X27687Y18562D1*
-Y18015D1*
-X12750Y12563D2*
-X13063Y12875D1*
-Y16438D1*
-X13124Y16500D1*
-X13062D1*
-X13125D2*
-X13250Y16625D1*
-X14250D1*
-X14312Y16687D1*
-Y17437D1*
-X16562Y19687D2*
-Y19813D1*
-X17188Y20439D1*
-X26185D1*
-X27437Y19187D1*
-X28852Y18000D2*
-Y18647D1*
-X28812Y18687D1*
+X14563Y16438D1*
+X17001D1*
+X17376Y16063D1*
+Y14626D1*
+X18189Y13813D1*
+X18814D1*
+X19007Y13620D1*
+X20917D1*
+X20921Y13615D1*
+X11875Y12688D2*
+Y12813D1*
+X11500Y13188D1*
+Y16251D1*
+X12063Y16813D1*
+Y17001D1*
+X11750Y11737D2*
+Y12563D1*
+X11875Y12688D1*
+X12063Y17001D2*
+X13008Y17946D1*
+X20921D1*
+X11500Y12813D2*
+X11250Y13063D1*
+Y14624D1*
+Y16313D1*
+X11688Y16751D1*
+Y17001D1*
+X11250Y15438D2*
+Y14624D1*
+X11688Y17001D2*
+X12830Y18143D1*
+X20921D1*
+X11313Y16876D2*
+X11000Y16563D1*
+Y12813D1*
+X11125Y12688D1*
+X11250Y11737D2*
+Y12563D1*
+X11125Y12688D1*
+X11313Y16876D2*
+Y17001D1*
+X12652Y18340D1*
+X20921D1*
X11186Y5399D2*
-Y7686D1*
-X11250Y7749D1*
-X17750Y12875D2*
-X18500D1*
-Y12812D1*
-X17750Y12875D2*
-X17562Y13062D1*
-Y16500D1*
-X17312Y16750D1*
-Y17437D1*
-X20751Y18439D2*
-X22998D1*
-X24875Y16562D1*
-X25999D1*
-X26018Y16543D1*
+X11188Y7937D1*
+X14751Y14501D2*
+Y15438D1*
+X14750D1*
+Y16125D1*
+X14938Y16313D1*
+X16938D1*
+X17226Y16026D1*
+Y14588D1*
+X18126Y13688D1*
+X18751D1*
+X18939Y13501D1*
+X20064D1*
+X20146Y13418D1*
+X20921D1*
X12186Y5399D2*
-Y6873D1*
-X12337Y7024D1*
-X12837D1*
-X13688Y7875D1*
-X16438D1*
-X18126Y9563D1*
-X18438D1*
-X23439D2*
-X26500D1*
-X27875Y10937D1*
-Y11930D1*
-X27868Y11937D1*
-X13812Y17437D2*
-Y18125D1*
-X13937Y18250D1*
-X15125D1*
-X16062Y19187D1*
-Y19687D1*
-Y20000D1*
-X16625Y20564D1*
-X26560D1*
-X27875Y19250D1*
-Y18006D1*
-X27868Y18000D1*
+Y6686D1*
+X13000Y7500D1*
+X14438D1*
+X15001Y8062D1*
+X19689Y12313D2*
+X20126D1*
+X20202Y12237D1*
+X20921D1*
+X23854Y19501D2*
+Y18023D1*
+X23877Y18001D1*
X13186Y5399D2*
-Y6998D1*
-X13750Y7562D1*
-X16500D1*
-X18188Y9250D1*
-X23126Y9188D2*
-X26313D1*
-X28065Y10940D1*
-Y11937D1*
-X13312Y17437D2*
-Y18187D1*
-X13500Y18375D1*
-X15062D1*
-X15812Y19125D1*
-Y19875D1*
-X15750Y19937D1*
-X15686D1*
-X16438Y20689D1*
-X26623D1*
-X28062Y19250D1*
-Y18002D1*
-X28065Y18000D1*
-X15437Y19687D2*
-Y20000D1*
-X16251Y20814D1*
-X27185D1*
-X29437Y18562D1*
-Y18005D1*
-X29443Y18000D1*
-X15125Y19937D2*
-X15061D1*
-X16063Y20939D1*
-X27248D1*
-X29640Y18547D1*
-Y18000D1*
-X20437Y17437D2*
-X21252D1*
-X21313Y17376D1*
-X23314D1*
-X25501Y15188D1*
-X25994D1*
-X26018Y15165D1*
-X12437Y11500D2*
-X13437D1*
-X13561Y11375D1*
-X19311D1*
-X19625Y11062D1*
-X23500Y11750D2*
-X24062D1*
-X24125Y11687D1*
-Y11000D1*
-X24625Y10500D1*
-X26687D1*
-X27081Y10893D1*
-Y11937D1*
-X12750Y11187D2*
-X18875D1*
-X19312Y10750D1*
-X23875Y11500D2*
-Y11062D1*
-X24562Y10375D1*
-X26750D1*
-X27278Y10903D1*
-Y11937D1*
-X14187Y19687D2*
-Y20001D1*
-X15500Y21314D1*
-X27439D1*
-X30230Y18523D1*
-Y18000D1*
-X13875Y19937D2*
-Y20000D1*
-X15314Y21439D1*
-X27502D1*
-X30427Y18513D1*
-Y18000D1*
-X13062Y10875D2*
-X18562D1*
-X19000Y10437D1*
-X23500Y11250D2*
-X24500Y10250D1*
-X26812D1*
-X27470Y10907D1*
-Y11941D1*
-X27474Y11937D1*
-X16625Y12750D2*
-X16687D1*
-X16812Y12875D1*
-Y13736D1*
-Y14625D1*
-X21063Y18689D2*
-X22935D1*
-X24875Y16750D1*
-X26008D1*
-X26018Y16740D1*
-X14812Y19687D2*
-Y20001D1*
-X15875Y21064D1*
-X27310D1*
-X29830Y18544D1*
-Y18006D1*
-X29837Y18000D1*
-X14500Y19937D2*
-X14436D1*
-X15688Y21189D1*
-X27373D1*
-X30029Y18533D1*
-Y18004D1*
-X30033Y18000D1*
-X20438Y17938D2*
-X21251D1*
-X21563Y17626D1*
-X23439D1*
-X25314Y15751D1*
-X26013D1*
-X26018Y15755D1*
-X20750Y17687D2*
-X21315D1*
-X21501Y17501D1*
-X23376D1*
-X25314Y15563D1*
-X26013D1*
-X26018Y15559D1*
-X16312Y12500D2*
-Y12937D1*
-X16375Y13000D1*
-X16500D1*
-X16562Y13062D1*
-Y14687D1*
-X16437Y14812D1*
-X16812Y15187D1*
-Y17437D1*
-X20751Y18939D2*
-X22873D1*
-X24875Y16937D1*
-X26018D1*
-X15875Y12875D2*
-Y12937D1*
-X15812Y13000D1*
-Y13736D1*
-Y14750D1*
-X16062Y15000D1*
-X21063Y19189D2*
-X22810D1*
-X24875Y17125D1*
-X26009D1*
-X26018Y17133D1*
-X15625Y12437D2*
-Y12937D1*
-X15562Y13000D1*
-Y15062D1*
-X15687Y15187D1*
-X15750D1*
-X16312Y15750D1*
-Y17437D1*
-X20751Y19439D2*
-X20813Y19501D1*
-X22685D1*
-X24875Y17312D1*
-X26000D1*
-X26018Y17330D1*
-X15312Y12750D2*
-Y13736D1*
-Y15375D1*
-X20376Y19564D2*
-Y19689D1*
-X25435D1*
-X26687Y18437D1*
-Y18000D1*
-X15000Y12437D2*
-Y12937D1*
-X15062Y13000D1*
-Y15187D1*
-X14937Y15312D1*
-Y15562D1*
-X15062Y15687D1*
-X15625D1*
-X15812Y15875D1*
-Y17437D1*
-X20001Y19564D2*
-X20251Y19814D1*
-X25498D1*
-X26875Y18437D1*
-Y18009D1*
-X26884Y18000D1*
-X14687Y12750D2*
-Y12812D1*
-X14812Y12937D1*
-Y13736D1*
-Y15125D1*
-X14562Y15375D1*
-Y15750D1*
-X19626Y19689D2*
-X19876Y19939D1*
-X25560D1*
-X27062Y18437D1*
-Y18018D1*
-X27081Y18000D1*
-X14375Y12437D2*
-Y12812D1*
-X14562Y13000D1*
-Y15062D1*
-X14187Y15437D1*
-Y15937D1*
-X14312D1*
-X14375Y16000D1*
-X15187D1*
-X15312Y16125D1*
-Y17437D1*
-X19251Y19751D2*
-X19563Y20064D1*
-X25623D1*
-X27250Y18437D1*
-Y18028D1*
-X27278Y18000D1*
-X21186Y5399D2*
-Y10499D1*
-X22560Y11873D1*
-Y13625D1*
-X22562D1*
-X23562Y14625D1*
-Y16812D1*
-X23123Y17251D1*
-X20751D1*
-X19937Y16437D1*
-X19437D1*
-X19312Y16562D1*
-Y17437D1*
-X26018Y13000D2*
-X25315D1*
-X24189Y14126D1*
-X20186Y5399D2*
-Y9686D1*
-X22312Y11812D1*
+Y6686D1*
+X13813Y7312D1*
+X14626D1*
+X15376Y8062D1*
+X20001Y12063D2*
+Y12041D1*
+X20921D1*
+X24051Y19501D2*
+Y18389D1*
+X24127Y18314D1*
+X24248Y19501D2*
+Y18567D1*
+X24439Y18376D1*
+Y18001D1*
+X16376Y7437D2*
+Y7500D1*
+X15938Y7937D1*
+X24445Y19501D2*
+Y18620D1*
+X24752Y18314D1*
+X24689D1*
+X22673Y19501D2*
+Y18642D1*
+X22876Y18439D1*
+Y18001D1*
+X21689Y19501D2*
+Y18376D1*
+X21626Y18314D1*
+X22083Y19501D2*
+Y18357D1*
+X22126Y18314D1*
+X23657Y19501D2*
+Y18344D1*
+X23627Y18314D1*
+X23067Y19501D2*
+Y18748D1*
+X23377Y18439D1*
+Y18001D1*
+X22476Y19501D2*
+Y18589D1*
+X22626Y18439D1*
+Y18314D1*
+X13750Y11737D2*
+Y12438D1*
+X14001Y12688D1*
+X14126D1*
+X14438Y13000D1*
+X14376D1*
+Y13250D1*
+X14001Y13626D1*
+Y14126D1*
+X13501Y14626D1*
+Y16126D1*
+X14063Y16688D1*
+X17126D1*
+X17626Y16188D1*
+Y14751D1*
+X17876Y14501D1*
+X18501D1*
+X18599Y14403D1*
+X20921D1*
+X24642Y19501D2*
+Y18736D1*
+X24939Y18439D1*
+Y18001D1*
+X25035Y19501D2*
+Y18717D1*
+X25189Y18564D1*
+Y18314D1*
+X21886Y19501D2*
+Y18073D1*
+X21876Y18063D1*
+Y18001D1*
+X22279Y19501D2*
+Y18536D1*
+X22376Y18439D1*
+Y18001D1*
+X14626Y13313D2*
+Y13813D1*
+X13751Y14688D1*
+Y15438D1*
+X13750D1*
+Y16125D1*
+X14188Y16563D1*
+X17063D1*
+X17501Y16126D1*
+Y14688D1*
+X18251Y13938D1*
+X18814D1*
+X19001Y14126D1*
+X19751D1*
+X19868Y14009D1*
+X20921D1*
+X13751Y7750D2*
+X14188D1*
+X14251Y7812D1*
+X13938Y12938D2*
+Y13375D1*
+X13751Y13563D1*
+Y14126D1*
+X13250Y14626D1*
+Y15438D1*
+Y16125D1*
+X13938Y16813D1*
+X17251D1*
+X17751Y16313D1*
+Y15063D1*
+X18001Y14813D1*
+X18523D1*
+X18586Y14876D1*
+X18934D1*
+X19014Y14796D1*
+X20921D1*
+X13438Y12938D2*
+Y13188D1*
+X12938Y13688D1*
+Y13813D1*
+X12750Y15438D2*
+Y14501D1*
+X12938Y14313D1*
+Y13813D1*
+X12750Y15438D2*
+Y16126D1*
+X13688Y17063D1*
+X17376D1*
+X18126Y16313D1*
+X18564D1*
+X18702Y16174D1*
+X20921D1*
+X12625Y14001D2*
+Y13563D1*
+X13125Y13063D1*
+Y12688D1*
+X12750Y11737D2*
+Y12313D1*
+X13125Y12688D1*
+X12625Y14001D2*
+Y14376D1*
+X12500Y14501D1*
+Y16126D1*
+X13563Y17188D1*
+X17438D1*
+X18063Y16563D1*
+X18564D1*
+X18689Y16688D1*
+X18939D1*
+X19059Y16568D1*
+X20921D1*
+X12813Y12938D2*
+X12313Y13438D1*
+Y14188D1*
+X12250Y15438D2*
+Y14251D1*
+X12313Y14188D1*
+X12250Y15438D2*
+Y16126D1*
+X13480Y17355D1*
+X20921D1*
+X12500Y12688D2*
+Y13000D1*
+X12000Y13501D1*
+Y14376D1*
+X12250Y11737D2*
+Y12438D1*
+X12500Y12688D1*
+X12000Y14376D2*
+Y16188D1*
+X13364Y17552D1*
+X20921D1*
+X12188Y12938D2*
+X11750Y13375D1*
+Y14699D1*
+Y16251D1*
+X12438Y16938D1*
+Y17001D1*
+X11750Y15438D2*
+Y14699D1*
+X12438Y16938D2*
+X13250Y17751D1*
+X20919D1*
+X20921Y17749D1*
+X19189Y13876D2*
+X19751D1*
+X19815Y13812D1*
+X20921D1*
+X20626Y8500D2*
+Y7312D1*
+X21186Y6752D1*
+Y5399D1*
+X16250Y15438D2*
+X16188D1*
+Y14876D1*
+X16126Y14813D1*
Y13751D1*
-X22560Y14000D1*
-X22562D1*
-X23437Y14875D1*
-Y16750D1*
-X23061Y17126D1*
-X20813D1*
-X20000Y16312D1*
-X18937D1*
-X18812Y16437D1*
-Y17437D1*
-X26018Y13590D2*
-X25287D1*
-X24501Y14376D1*
+X16563Y13313D1*
+X17251D1*
+X19126Y11438D1*
+Y10000D1*
+X20626Y8500D1*
+X18439Y14188D2*
+Y14251D1*
+X19876D1*
+X19921Y14206D1*
+X20921D1*
+X20251Y8375D2*
+Y7754D1*
+X20186Y7690D1*
+Y5399D1*
+X15750Y15438D2*
+Y13939D1*
+X16501Y13188D1*
+X17188D1*
+X19001Y11375D1*
+Y9625D1*
+X20251Y8375D1*
+X18753Y14642D2*
+Y14600D1*
+X20921D1*
X19186Y5399D2*
-Y8874D1*
-X22187Y11874D1*
-Y14001D1*
-X22560Y14375D1*
-X22562D1*
-X23312Y15125D1*
-Y16687D1*
-X22998Y17001D1*
-X20876D1*
-X20062Y16187D1*
-X18500D1*
-X18312Y16375D1*
-Y17437D1*
-X24189Y14626D2*
-X24626D1*
-X24814Y14438D1*
-Y14376D1*
-X25376Y13813D1*
-X25992D1*
-X26018Y13787D1*
-X18186Y5399D2*
-Y8061D1*
-X22062Y11937D1*
+Y7435D1*
+X19876Y8125D1*
+Y8250D1*
+X15250Y15438D2*
Y14251D1*
-X22560Y14750D1*
-X22562D1*
-X23187Y15375D1*
-Y16625D1*
-X22937Y16875D1*
-X20937D1*
-X18750Y14687D1*
-X18187D1*
-X17812Y14312D1*
-Y13736D1*
-X24501Y14876D2*
-X24751D1*
-X24939Y14688D1*
-Y14501D1*
-X25439Y14001D1*
-X26001D1*
-X26018Y13984D1*
+X16438Y13063D1*
+X17126D1*
+X18876Y11313D1*
+Y9250D1*
+X19876Y8250D1*
+X18439Y15063D2*
+Y15051D1*
+X19130D1*
+X19188Y14993D1*
+X20921D1*
+X18186Y5399D2*
+Y6685D1*
+X19439Y7937D1*
+Y8062D1*
+X19501Y8125D1*
+X14750Y11737D2*
+Y12437D1*
+X15251Y12938D1*
+X17063D1*
+X18751Y11250D1*
+Y8875D1*
+X19501Y8125D1*
+X18439Y15501D2*
+Y15387D1*
+X20921D1*
X17186Y5399D2*
-Y7249D1*
-X21937Y12000D1*
-Y14501D1*
-X22560Y15125D1*
-X22562D1*
-X23062Y15625D1*
-Y16562D1*
-X22875Y16750D1*
-X21000D1*
-X18812Y14562D1*
-X18625D1*
-X18312Y14250D1*
-Y13736D1*
-X24189Y15126D2*
-X24751D1*
-X25064Y14813D1*
-Y14626D1*
-X25501Y14188D1*
-X26010D1*
-X26018Y14181D1*
+Y6685D1*
+X17313Y6812D1*
+X17501D1*
+X18063Y7375D1*
+X18564D1*
+X19189Y8000D1*
+X19126D1*
+X15250Y11737D2*
+Y12437D1*
+X15626Y12813D1*
+X17001D1*
+X18626Y11188D1*
+Y8500D1*
+X19126Y8000D1*
+X18376Y15938D2*
+X18534Y15781D1*
+X20921D1*
X16186Y5399D2*
-Y6436D1*
-X21812Y12062D1*
-Y14751D1*
-X22560Y15500D1*
-X22937Y15876D1*
-Y16500D1*
-X22812Y16625D1*
-X21062D1*
-X18875Y14437D1*
-Y14375D1*
-X18812Y14312D1*
-Y13736D1*
-X24501Y15376D2*
-X24751D1*
-X25189Y14938D1*
-Y14751D1*
-X25564Y14376D1*
-X26016D1*
-X26018Y14377D1*
+Y6685D1*
+X16438Y6937D1*
+X17438D1*
+X18001Y7500D1*
+X18314D1*
+X18751Y7937D1*
+X15750Y11737D2*
+Y12375D1*
+X16063Y12688D1*
+X16938D1*
+X18501Y11125D1*
+Y8187D1*
+X18751Y7937D1*
+X18814Y16438D2*
+Y16371D1*
+X20921D1*
X15186Y5399D2*
Y6686D1*
-X15437Y6937D1*
-X16500D1*
-X21687Y12125D1*
-Y15001D1*
-X22560Y15875D1*
-X22562D1*
-X22812Y16125D1*
-Y16437D1*
-X22750Y16500D1*
-X21125D1*
-X19375Y14750D1*
-Y13799D1*
-X19312Y13736D1*
-X24189Y15626D2*
-X24689D1*
-X25314Y15001D1*
-Y14813D1*
-X25564Y14563D1*
-X26007D1*
-X26018Y14574D1*
+X15563Y7062D1*
+X17376D1*
+X17938Y7625D1*
+X18189D1*
+X18376Y7812D1*
+Y7937D1*
+X16250Y11737D2*
+Y12375D1*
+X16438Y12563D1*
+X16876D1*
+X18376Y11063D1*
+Y7937D1*
+Y16813D2*
+X19064D1*
+X19112Y16765D1*
+X20921D1*
X14186Y5399D2*
-Y6749D1*
-X14500Y7062D1*
-X16437D1*
-X21562Y12187D1*
-Y14438D1*
-Y15251D1*
-X22560Y16250D1*
-X21562Y14438D2*
-X21501D1*
-X20563Y15376D1*
-X20188D1*
-X19812Y15000D1*
-Y13736D1*
-X24189Y16001D2*
-X24501D1*
-X25564Y14938D1*
-Y14813D1*
-X25626Y14751D1*
-X25997D1*
-X26018Y14771D1*
-X23312Y7687D2*
-X23562Y7937D1*
-X25187D1*
-X25625Y8375D1*
-X26062D1*
-X28187Y10500D1*
-X28812Y11062D2*
-X28852D1*
-Y11937D1*
-X20375Y13562D2*
-Y13189D1*
-X20683Y12880D1*
-X20955D1*
-X23001Y12813D2*
-X26007D1*
-X26018Y12803D1*
-X23001Y12813D2*
-Y13501D1*
-X13562Y19687D2*
-Y20000D1*
-X15126Y21564D1*
-X27564D1*
-X30625Y18503D1*
-Y18000D1*
-X30624D1*
-X29810Y16021D2*
-D13*
-X31303D1*
+Y6686D1*
+X14688Y7187D1*
+X17251D1*
+X18001Y7937D1*
+X16750Y11737D2*
+X17514D1*
+X18251Y11000D1*
+Y8250D1*
+X18001Y8000D1*
+Y7937D1*
+X22875Y9750D2*
+Y10065D1*
+X22673Y10266D1*
+Y11076D1*
+X22814Y7375D2*
+Y9238D1*
+X22875D1*
+X10750Y15438D2*
+Y16751D1*
+X12500Y18501D1*
+X20376D1*
+X20412Y18537D1*
+X20921D1*
+X10250Y15438D2*
+Y16501D1*
+X12375Y18626D1*
+X20251D1*
+X20359Y18733D1*
+X20921D1*
+X19439Y12563D2*
+X20064D1*
+X20192Y12434D1*
+X20921D1*
+X19189Y13250D2*
+Y12188D1*
+X20251Y11125D1*
+Y9750D1*
+X19189Y13250D2*
+X19626D1*
+X20049Y12828D1*
+X20921D1*
+X22870Y19501D2*
+Y18633D1*
+X23127Y18376D1*
+Y18314D1*
+X31502Y8000D2*
D14*
-X31431Y16149D1*
-X32081D1*
-X31303Y16021D2*
-D13*
-Y15219D1*
+Y8312D1*
+X31690Y8500D1*
+Y8926D1*
+X29346Y16765D2*
+D15*
+X30016D1*
+X30065Y16813D1*
+X18751Y13200D2*
D14*
-X31357Y15165D1*
-X32081D1*
-X29789Y13478D2*
-D13*
-X30575D1*
-X30792Y13261D1*
-X31198D1*
-X31250Y13312D1*
-X32081Y13393D2*
+X18677D1*
+X18439Y13438D1*
+X29346Y15387D2*
+D15*
+X29740D1*
D14*
-X31426D1*
-X31345Y13312D1*
-X31250D1*
-X26018Y13196D2*
-X26525D1*
-X26665Y13056D1*
-X29640Y11937D2*
-Y12297D1*
-X29375Y12562D1*
-X28656Y11937D2*
-Y12330D1*
-D13*
-Y12429D1*
-X28485Y12599D1*
-X27122D1*
-X26665Y13056D1*
-X26018Y15952D2*
+X29940D1*
+Y16688D1*
+X30065Y16813D1*
+X29346Y13222D2*
+D15*
+X29719D1*
+X29940Y13000D1*
+X26610Y11076D2*
+Y11580D1*
+X26502Y11688D1*
D14*
-X26411D1*
-D13*
-X26573D1*
-X26747Y15779D1*
-Y15596D1*
-X26705Y15555D1*
-X26018Y15362D2*
+X26314D1*
+X20921Y15584D2*
+D15*
+X21315D1*
D14*
-X26411D1*
-D13*
-X26512D1*
-X26705Y15555D1*
-X7312Y10937D2*
-Y10376D1*
-X7564Y10124D1*
-X8936D1*
-X10125Y11312D1*
-X10286D1*
-X10343Y11255D1*
-X17313Y12250D2*
-X17063Y12500D1*
-Y12938D1*
-X32081Y13393D2*
+X21543D1*
+X21564Y15563D1*
+X20921Y13025D2*
+D15*
+X21315D1*
D14*
-X32474D1*
-D13*
-X32672D1*
-X33565Y12500D1*
-Y12313D1*
-X28459Y18000D2*
+X21852D1*
+X22626Y12250D1*
+X22814D1*
+X22876Y12188D1*
+X20921Y16962D2*
+D15*
+X21315D1*
D14*
-Y18393D1*
-D13*
-Y18544D1*
-X28377Y18626D1*
-X35190Y13063D2*
-Y13501D1*
-X35315Y13626D1*
-Y14501D1*
-X28627Y12563D2*
-X28656Y12429D1*
-X17751Y9625D2*
-X16875Y8750D1*
-X28656Y18000D2*
+X21478D1*
+X21564Y16876D1*
+X20921Y16962D2*
+D15*
+X20527D1*
+D14*
+X20040D1*
+X19939Y17063D1*
+X23461Y11076D2*
+D15*
+Y10682D1*
+D14*
+Y10541D1*
+X23627Y10375D1*
+X24838Y11076D2*
+D15*
+Y10682D1*
+D14*
+Y10538D1*
+X25002Y10375D1*
+X25377D1*
+X27189Y8562D1*
+X31714D1*
+X31690Y8500D1*
+X23461Y19501D2*
+D15*
+Y19960D1*
+X23564Y20064D1*
+D14*
+X25627D1*
+D15*
+X24925D1*
+X24838Y19977D1*
+D14*
+Y19501D1*
+X26610D2*
+D15*
+Y20080D1*
+D14*
+X26252Y20439D1*
+X26002D1*
+X21513Y9250D2*
+Y7988D1*
+X21939Y7562D1*
+Y7625D1*
+X29252Y11125D2*
+Y11313D1*
+X29377Y11438D1*
+X29877D1*
+X30502Y10813D1*
+Y10625D1*
+X30627Y10500D1*
+X29346Y16765D2*
+D15*
+X28238D1*
+X28127Y16876D1*
+X16376Y14126D2*
D14*
-Y18390D1*
-D13*
-X28581Y18465D1*
-X28538D1*
-X28377Y18626D1*
-X34565Y10938D2*
-Y11438D1*
-X12000Y11262D2*
-X11262D1*
-X10875Y10875D1*
-X10343Y11255D2*
-X10495D1*
-X10875Y10875D1*
-X19812Y17437D2*
-Y18125D1*
-X19813Y18126D1*
-X13000Y22564D2*
-X8375D1*
-X8562Y22751D1*
-X38815D1*
-X39128Y22439D1*
-X38878D1*
-X12000Y9238D2*
-X11199D1*
-X11000Y9438D1*
-X34940Y8813D2*
-Y8375D1*
-X34565D1*
-X17614Y12188D2*
-D16*
X17313D1*
-Y12250D1*
-X32627Y10625D2*
-Y11000D1*
-X32565D1*
-X17751Y9625D2*
+X18001Y13438D1*
+X18439D1*
+X29346Y13222D2*
+D15*
+X28911D1*
+X28815Y13125D1*
+X25627Y20064D2*
+D14*
+X26002Y20439D1*
+X30440Y19751D2*
+X30190D1*
+X29940Y19501D1*
+Y18001D1*
+X30065Y17876D1*
+X30440Y19751D2*
+Y19314D1*
+X32940Y16813D1*
+Y15063D1*
+X34628Y13375D1*
+X34753D1*
+X15938Y8375D2*
+X11125D1*
+X11063Y8312D1*
+X30065Y17876D2*
+X30127D1*
+X31752Y16251D1*
+X31690D1*
+X11063Y8312D2*
+X11000D1*
+X10563Y7875D1*
+Y7500D1*
+X10438Y7375D1*
+X21939Y7625D2*
+Y7875D1*
+X20939Y8875D1*
+X20564D1*
+X19501Y9938D1*
+Y10875D1*
+X17063Y10563D2*
+Y10625D1*
+X15251D1*
+X13375D1*
+X7562D1*
+X7125Y10188D1*
+Y9938D1*
+X7062Y9875D1*
+X17438Y10824D2*
+X17325D1*
+X17063Y10563D1*
+X13375Y10500D2*
+Y10625D1*
+X15251Y10500D2*
+Y10625D1*
+X23189Y7375D2*
D13*
-X16313Y8187D1*
-X13250D1*
-X13188Y8250D1*
-X23750Y7687D2*
-D16*
-X25125D1*
-X25625Y8187D1*
-X26250D1*
-X28459Y10396D1*
-Y11937D1*
+Y10125D1*
+X22870Y10444D1*
+Y11076D1*
+X25035D2*
+Y11505D1*
+X24865Y11675D1*
+X24627D1*
X10186Y5399D2*
-Y7686D1*
-X11313Y8813D1*
-X16563D1*
-X17688Y9938D1*
-X18876D1*
-X23751D2*
-X26187D1*
-X26688D1*
-X27671Y10921D1*
-Y11937D1*
-X26187Y9937D2*
-Y9938D1*
-X25750Y7687D2*
-X26125D1*
-X29443Y11005D1*
-Y11937D1*
-X32081Y17330D2*
-X33356D1*
-X34250Y16437D1*
-X35000D1*
-X35066D1*
-X35565Y15938D1*
-Y10500D1*
-X35502D1*
-X32081Y15755D2*
-X33556D1*
-X34500Y14812D1*
-X34937D1*
-X35000Y14750D1*
+Y7874D1*
+X10938Y8625D1*
+X17626D1*
+X18126Y9125D1*
+Y10000D1*
+X18001D1*
+X27004Y11076D2*
+Y10627D1*
+X26877Y10500D1*
+X27186Y5399D2*
+Y6940D1*
+X26752Y7375D1*
+X25377Y9238D2*
+Y8750D1*
+X26752Y7375D1*
+X24642Y11076D2*
+Y10423D1*
+X24980Y10084D1*
+X25225D1*
+X25377Y9933D1*
+Y9750D1*
+X24127D2*
+X24051D1*
+Y11076D1*
+X25377Y7375D2*
+X24127Y8625D1*
+Y9238D1*
+X30752Y16813D2*
+X30690Y16751D1*
+Y13063D1*
+X31614Y12139D1*
+Y11661D1*
+X29346Y17552D2*
+X30076D1*
+X30690Y16938D1*
+Y16813D1*
+X30752D1*
+X29346Y16174D2*
+X28113D1*
+X28099Y16188D1*
+X27502D1*
+X23502Y9750D2*
+Y10063D1*
+X23067Y10497D1*
+Y11076D1*
X25186Y5399D2*
-Y7561D1*
-X25687Y8062D1*
-X26312D1*
-X29246Y10996D1*
-Y11937D1*
-X32081Y14574D2*
-X33366D1*
-X34252Y13688D1*
-Y12688D1*
-X34190Y12625D1*
-X32081Y14377D2*
-X33250D1*
-X33877Y13751D1*
-Y12938D1*
-X32081Y14181D2*
-X33135D1*
-X33627Y13688D1*
-Y13313D1*
-X33565Y13250D1*
-X32081Y13984D2*
-X33019D1*
-X33252Y13751D1*
-Y13563D1*
-X31350Y11000D2*
-Y11278D1*
-X31215Y11413D1*
-Y11937D1*
-X30752Y11000D2*
-Y11250D1*
-X30821Y11319D1*
-Y11937D1*
-X30189Y11000D2*
-X30427Y11238D1*
-Y11937D1*
-X30314Y12625D2*
-X30502D1*
-X30627Y12500D1*
-Y11939D1*
-X30624Y11937D1*
-X30939Y12625D2*
-Y12500D1*
-X31018Y12422D1*
-Y11937D1*
-X31502Y12625D2*
-Y12500D1*
-X31411Y12410D1*
-Y11937D1*
+Y7128D1*
+X23502Y8813D1*
+Y9238D1*
+X29346Y12237D2*
+X30364D1*
+X30752Y12625D1*
X31186Y5399D2*
-Y6875D1*
-X30750Y7312D1*
-Y8752D1*
-X30437Y9065D1*
-Y10312D1*
-X30812Y10687D1*
-X31501D1*
-X32565Y11750D1*
-Y12559D1*
-X32500Y12625D1*
-X32062D1*
-X32081Y12606D1*
-Y16937D2*
-X33375D1*
-X34375Y15937D1*
-X34937D1*
-X35000Y15875D1*
+Y6753D1*
+X30315Y7625D1*
+X29440D1*
+X28940Y10938D2*
+Y10813D1*
+X28752Y10625D1*
+X28627D1*
+X28565Y10688D1*
+Y11062D1*
+X28579Y11076D1*
+X29346Y17355D2*
+X28710D1*
+X28440Y17626D1*
+X27002D1*
+Y17563D1*
X32186Y5399D2*
-Y7000D1*
-X32062Y7125D1*
-X31125D1*
-X30875Y7375D1*
-Y8815D1*
-X30562Y9127D1*
-Y10250D1*
-X30875Y10562D1*
-X31564D1*
-X32690Y11688D1*
-Y12622D1*
-X32500Y12812D1*
-X32071D1*
-X32081Y12803D1*
-Y17133D2*
-X33366D1*
-X34312Y16187D1*
-X34625D1*
+Y6753D1*
+X31315Y7625D1*
+X30690D1*
+X29627Y10938D2*
+Y10688D1*
+X29440Y10500D1*
+X28440D1*
+X28377Y10563D1*
+Y11071D1*
+X28382Y11076D1*
+X29346Y17159D2*
+X28657D1*
+X28377Y17438D1*
+X27314D1*
+Y17313D1*
X33186Y5399D2*
-Y7063D1*
-X33000Y7250D1*
-X31187D1*
-X31000Y7437D1*
-Y8877D1*
-X30687Y9190D1*
-Y10187D1*
-X30937Y10437D1*
-X31626D1*
-X31844Y10655D1*
-X32815Y11625D1*
-Y12684D1*
-X32500Y13000D1*
-X32081D1*
-X31844Y10655D2*
-X31877Y10688D1*
-X32053D1*
-X32115Y10625D1*
-X32081Y16740D2*
-X33384D1*
-X34500Y15625D1*
-X34625D1*
+Y6753D1*
+X32377Y7562D1*
+X32002D1*
+Y7988D1*
+X32014Y8000D1*
+X30190Y10625D2*
+X29940Y10375D1*
+X27127D1*
+X26939Y10188D1*
+X25939D1*
+X25439Y10688D1*
+Y11066D1*
+X25429Y11076D1*
+X29346Y16568D2*
+X27997D1*
+X27877Y16688D1*
+X27439D1*
+X29346Y12434D2*
+X30061D1*
+X30502Y12875D1*
X34186Y5399D2*
-Y6813D1*
-X33625Y7375D1*
-X31250D1*
-X31125Y7500D1*
-Y8937D1*
-X30812Y9250D1*
-Y10125D1*
-X31000Y10312D1*
-X32876D1*
-X33252Y10688D1*
-Y12434D1*
-X32500Y13187D1*
-X32090D1*
-X32081Y13196D1*
-Y16543D2*
-X33331D1*
-X34562Y15312D1*
-X35000D1*
-X32081Y15952D2*
-X33734D1*
-X34625Y15062D1*
-X32081Y15559D2*
-X33565D1*
-X34625Y14500D1*
-X32081Y14968D2*
-X33594D1*
-X34625Y13937D1*
-X32081Y15362D2*
-X33387D1*
-X34500Y14250D1*
-X34937D1*
-X35000Y14187D1*
+Y6941D1*
+X33565Y7562D1*
+X32753D1*
+X30815Y11000D2*
+X30002Y11813D1*
+X29377D1*
+X29346Y11844D1*
+Y16371D2*
+X27997D1*
+X27930Y16438D1*
+X27752D1*
+X29346Y15978D2*
+X28166D1*
+X28127Y15938D1*
+X27877D1*
+X29346Y15781D2*
+X28282D1*
+X28190Y15688D1*
+X27564D1*
+X29346Y17749D2*
+X28754D1*
+X28752Y17751D1*
+X29346Y12041D2*
+X30667D1*
+X31002Y12375D1*
+X29346Y12631D2*
+X29945D1*
+X30252Y12938D1*
+Y13250D1*
+X30377Y13375D1*
+X30440D1*
+X29346Y13615D2*
+X28367D1*
+X28190Y13438D1*
+Y13250D1*
+X29346Y14403D2*
+X28592D1*
+X28315Y14126D1*
+X27940D1*
+X29346Y14796D2*
+X28548D1*
+X28377Y14626D1*
+X28002D1*
+X29346Y15584D2*
+X28335D1*
+X28190Y15438D1*
+X27877D1*
+X29346Y15190D2*
+X28191D1*
+X28190Y15188D1*
+X27564D1*
X28186Y5399D2*
-Y7186D1*
-X28312Y7312D1*
+Y6753D1*
+X27314Y7625D1*
+X29346Y14600D2*
+X28601D1*
+X28377Y14376D1*
+X27627D1*
X29186Y5399D2*
-Y7312D1*
-X29187D1*
-X30375Y7062D2*
-Y6937D1*
-X30186Y6749D1*
+Y6753D1*
+X28315Y7625D1*
+X27940D1*
+X29346Y14206D2*
+X28582D1*
+X28190Y13813D1*
+X27690D1*
+X27627Y13876D1*
+X28690Y7625D2*
+X29377Y6937D1*
+X30002D1*
+X30186Y6753D1*
Y5399D1*
X38186D2*
Y6750D1*
-X37687Y7249D1*
-Y7437D1*
+X37566Y7371D1*
+Y7500D1*
X37186Y5399D2*
-Y7063D1*
-X36812Y7437D1*
+Y6629D1*
+X36315Y7500D1*
X36186Y5399D2*
-Y7250D1*
-X36000Y7437D1*
+Y6754D1*
+X35440Y7500D1*
X35186Y5399D2*
-Y7375D1*
-X35125Y7437D1*
-X29375Y13187D2*
-X30596D1*
-X30761Y13023D1*
-X31449D1*
-Y13030D1*
-X32750Y13687D2*
-Y13812D1*
-X32056D1*
-X32081Y13787D1*
-X22186Y5399D2*
-Y7310D1*
-X23814Y8938D1*
-X26250D1*
-X28262Y10949D1*
-Y11937D1*
-X13636Y11812D2*
-X13812D1*
-X14062Y11562D1*
-X19562D1*
-X20000Y11125D1*
-X20250D1*
-X20812Y11687D1*
-Y12125D1*
-X23875Y12500D2*
-X25562D1*
-X25687Y12625D1*
-X25999D1*
-X26018Y12606D1*
-X20812Y12125D2*
-X19752D1*
-X19688Y12188D1*
-X19176D2*
-X18126D1*
+Y6879D1*
+X34565Y7500D1*
+X25689Y12438D2*
+X27002D1*
+X28565Y14001D1*
+X29346D1*
+Y14009D1*
+X21513Y9813D2*
+Y10137D1*
+X21689Y10313D1*
+Y11076D1*
+X21001Y9813D2*
+Y9250D1*
+X14250Y11737D2*
+Y10938D1*
+X16876D1*
+X17188Y11250D1*
+X17813D1*
+X18063Y11000D1*
+Y10813D1*
+X18001Y10750D1*
+X9262Y13438D2*
+X10000D1*
+X11000Y12438D1*
+Y11063D1*
+X11125Y10938D1*
+X14250D1*
+X20814Y10438D2*
+X20939Y10313D1*
+Y9813D1*
+X21001D1*
+X13250Y11737D2*
Y12375D1*
-X17938Y12563D1*
-X17438D1*
-X17313Y12688D1*
-Y13735D1*
-X17312Y13736D1*
-X16312D2*
-Y13187D1*
-X16187Y13062D1*
-Y12750D1*
-X16000Y12562D1*
-Y12314D1*
-X16375Y11938D1*
-X20311D1*
-X20437Y11812D1*
-X23500Y12250D2*
-X24000D1*
-X24375Y11875D1*
-Y11125D1*
-X24750Y10750D1*
-X26562D1*
-X26687Y10874D1*
-Y11937D1*
-X13812Y13736D2*
-Y12563D1*
-X14626Y11750D1*
-X19812D1*
-X20062Y11500D1*
-X13812Y12563D2*
-Y12488D1*
-X13636Y12312D1*
-X23875Y12000D2*
-X24000D1*
-X24250Y11750D1*
-Y11062D1*
-X24687Y10625D1*
-X26625D1*
-X26875Y10875D1*
-Y11927D1*
-X26884Y11937D1*
-X32125Y21000D2*
-Y19500D1*
-X31215Y18590D1*
-Y18000D1*
-X31125Y21000D2*
-Y18811D1*
-X31013Y18700D1*
-Y18004D1*
-X31018Y18000D1*
-X33125Y21000D2*
-Y20250D1*
-X31404Y18529D1*
-Y17992D1*
-X31411Y18000D1*
-X30125Y21000D2*
-Y19249D1*
-X30812Y18562D1*
-Y18008D1*
-X30821Y18000D1*
-D39*
-X11250Y7749D3*
+X13688Y12813D1*
+Y13125D1*
+X13250Y13563D1*
+Y14313D1*
+X13000Y14563D1*
+Y16188D1*
+X13751Y16938D1*
+X17313D1*
+X18063Y16188D1*
+X18501D1*
+X18712Y15978D1*
+X20921D1*
+X10750Y11737D2*
+Y11000D1*
+X10938Y10813D1*
+X16938D1*
+X17251Y11125D1*
+X17688D1*
+X17751Y11063D1*
+Y10500D1*
+X17876Y10375D1*
+X10750Y11737D2*
+Y12500D1*
+X10313Y12938D1*
+X9262D1*
+X21886Y11076D2*
+Y10134D1*
+X21876Y10125D1*
+X28382Y19501D2*
+Y19943D1*
+X28815Y20376D1*
+X28939D1*
+X29002Y20439D1*
+X28185Y19501D2*
+Y20256D1*
+X28002Y20439D1*
+X28579Y19501D2*
+Y19828D1*
+X28690Y19939D1*
+X29502D1*
+X30002Y20439D1*
+X27988Y19501D2*
+Y19890D1*
+X27940Y19939D1*
+X27502D1*
+X27002Y20439D1*
+D37*
+X25689Y12438D3*
+X11188Y7937D3*
X38187Y7437D3*
-X6499Y16251D3*
-X14562Y10562D3*
-X16687D3*
-X14562Y9937D3*
-X16687D3*
-X31062Y9375D3*
-Y10000D3*
-X33250D3*
-Y9375D3*
-X28312Y7312D3*
-X29187D3*
-X30375Y7062D3*
-X22560Y16250D3*
-Y15875D3*
-Y15500D3*
-Y15125D3*
-Y14750D3*
-Y14375D3*
-Y14000D3*
-Y13625D3*
-X24876Y22126D3*
-X21876Y21814D3*
-X21000Y12500D3*
-X20250Y15062D3*
-X18313Y19939D3*
-X8750Y7312D3*
-X21251Y13501D3*
-X28812Y11062D3*
-X17750Y12875D3*
-X18500Y12812D3*
-X13437Y16312D3*
-X13812Y16125D3*
-X14187Y15937D3*
-X14562Y15750D3*
-X14937Y15562D3*
-X15312Y15375D3*
-X15687Y15187D3*
-X16062Y15000D3*
-X16437Y14812D3*
-X16812Y14625D3*
-X9750Y12375D3*
-X13062Y16500D3*
-X18001Y15688D3*
-X12750Y12563D3*
-X13562Y12875D3*
-X14062Y12750D3*
-X14375Y12437D3*
-X14687Y12750D3*
-X15000Y12437D3*
-X15312Y12750D3*
-X15625Y12437D3*
-X15875Y12875D3*
-X16312Y12500D3*
-X16625Y12750D3*
-X12812Y14438D3*
-X35502Y9375D3*
-X35125Y7437D3*
-X36000D3*
-X36812D3*
-X37687D3*
-X24189Y16001D3*
-Y15626D3*
-X24501Y15376D3*
-X24189Y15126D3*
-X33252Y13563D3*
-X24501Y14876D3*
-X24189Y14626D3*
-X24501Y14376D3*
-X24189Y14126D3*
-X9437Y18251D3*
-Y17126D3*
-X16938Y19689D3*
-X17313D3*
-X19251Y19751D3*
-X19626Y19689D3*
-X20001Y19564D3*
-X20376D3*
-X20751Y19439D3*
-X21063Y19189D3*
-X20751Y18939D3*
-X21063Y18689D3*
-X16562Y19687D3*
-X20751Y18439D3*
-X21063Y18189D3*
-X20812Y12125D3*
-X20437Y11812D3*
-X20062Y11500D3*
-X19625Y11062D3*
-X19312Y10750D3*
-X19000Y10437D3*
-X12437Y11500D3*
-X12750Y11187D3*
-X13062Y10875D3*
-X23875Y12500D3*
-X23500Y12250D3*
-X23875Y12000D3*
-X23500Y11750D3*
-X23875Y11500D3*
-X23500Y11250D3*
-X18876Y9938D3*
-X18438Y9563D3*
-X18188Y9250D3*
-X15125Y19937D3*
-X15437Y19687D3*
-X15750Y19937D3*
-X16062Y19687D3*
-X14812D3*
-X14500Y19937D3*
-X23751Y9938D3*
-X23439Y9563D3*
-X23126Y9188D3*
-X13875Y19937D3*
-X14187Y19687D3*
-X13562D3*
-X9312Y12125D3*
-X33565Y13250D3*
-X35815Y12063D3*
-X33877Y12938D3*
-X34190Y12625D3*
-X23001Y13501D3*
-X28187Y10500D3*
-X20375Y13562D3*
-X20955Y12880D3*
-X23312Y7687D3*
-X23750D3*
-X25750D3*
-X26625D3*
-X23001Y12813D3*
-X27437Y19187D3*
-X28812Y18687D3*
-X26187Y9937D3*
-X34625Y13937D3*
-X35000Y14187D3*
-X34625Y14500D3*
-X35000Y14750D3*
-X34625Y15062D3*
-X35000Y15312D3*
-X34625Y15625D3*
-X35000Y15875D3*
-X34625Y16187D3*
-X35000Y16437D3*
-X35502Y10500D3*
-X12812Y15438D3*
-X17313Y12250D3*
-X18626Y12500D3*
-X20251Y12938D3*
-X20750Y17687D3*
-X20437Y17437D3*
-X20438Y17938D3*
-X32750Y13687D3*
-X10000Y13563D3*
-Y16063D3*
-X12750Y19189D3*
-X14375Y21439D3*
-X29375Y13187D3*
-X31449Y13030D3*
-X33687Y17375D3*
-X30383Y17055D3*
-X33279Y17743D3*
-X26705Y15555D3*
-X26665Y13056D3*
-X29375Y12562D3*
-X31250Y13312D3*
-X29810Y16021D3*
-X29789Y13478D3*
-X30314Y12625D3*
-X30939D3*
-X31502D3*
-X30189Y11000D3*
-X30752D3*
-X31350D3*
-X8562Y15001D3*
-X8625Y11875D3*
-X7249Y15626D3*
-Y12438D3*
-X17063Y12938D3*
-X17188Y16188D3*
-Y15001D3*
-X18563Y15376D3*
-X22188Y16251D3*
-X19813Y18126D3*
-X23251Y19376D3*
-X26126Y17813D3*
-X28189Y21439D3*
-X30627Y20439D3*
-X31564D3*
-X32627Y20376D3*
-X33190Y19564D3*
-X31940Y17813D3*
-X38878Y22439D3*
-X42566Y18626D3*
-X33190Y7937D3*
-X38628Y7250D3*
-X33690Y10500D3*
-X35065D3*
-X35190Y13063D3*
-X33565Y12313D3*
-X29752Y14438D3*
-X31314Y16938D3*
-X24001Y13188D3*
-X34940Y8813D3*
-X10687Y7750D3*
-X28377Y18626D3*
-X35315Y14501D3*
-X28252Y15001D3*
-X31564Y14501D3*
-X28627Y12563D3*
-X26251Y12188D3*
-X24751Y11188D3*
-X17063Y10313D3*
-X18376Y10438D3*
-X12938Y9500D3*
-X13188Y8250D3*
-X9750Y7312D3*
-X8312Y8750D3*
-X23939Y8375D3*
-X25189Y8562D3*
-X23376Y10750D3*
-X4562Y18376D3*
-X8687Y22564D3*
-X37128Y13188D3*
-X13000Y22564D3*
-X9187Y18814D3*
-X11125Y20626D3*
-X8500Y20876D3*
-X24439Y18689D3*
-X25314Y18501D3*
-X26689Y14188D3*
-X27189Y7250D3*
-X29627Y10188D3*
-Y8562D3*
-X18688Y8062D3*
-X19688Y7875D3*
-Y8813D3*
-X20688Y7812D3*
-Y8813D3*
-X24564Y12188D3*
-X34565Y11438D3*
-X4562Y8813D3*
-Y10188D3*
-Y12250D3*
-Y15313D3*
-X5937Y13501D3*
-X5874Y16813D3*
-X11250Y22564D3*
-X11687Y12125D3*
-X10875Y10875D3*
-X11000Y9438D3*
-X24251Y7250D3*
-X22564Y7312D3*
-X8875Y10125D3*
-X30377Y10625D3*
-X39128Y8750D3*
-X42941D3*
-Y10250D3*
-X41315Y10313D3*
-Y12188D3*
-X42941D3*
-Y17001D3*
-X39128Y11938D3*
-X40753Y20501D3*
-X40315Y16438D3*
-X41941Y14313D3*
-X35690Y17813D3*
-X34002Y22126D3*
-X37565D3*
-X34377Y19814D3*
-X36252Y19876D3*
-X38565Y17688D3*
-X40003Y19689D3*
-X6624Y20626D3*
-X37065Y9875D3*
-X38253D3*
-X26689Y16501D3*
-X27001Y17376D3*
-X30627Y19439D3*
-X31564D3*
-X21626Y10375D3*
-X28502Y8813D3*
-X20751Y13375D3*
+X6750Y15126D3*
+X12313Y10313D3*
+X14438D3*
+X12313Y9688D3*
+X14438D3*
+X27752Y9500D3*
+Y10125D3*
+X29877D3*
+Y9500D3*
+X27314Y7625D3*
+X27940D3*
+X28690D3*
+X19876Y8250D3*
+X19439Y12563D3*
+X14751Y14501D3*
+X18001Y10750D3*
+X19189Y13250D3*
+X19689Y12313D3*
+X25689Y18376D3*
+X21876Y10125D3*
+X17876Y10375D3*
+X20814Y10438D3*
+X19189Y13876D3*
+X18439Y14188D3*
+X18753Y14642D3*
+X18439Y15063D3*
+Y15501D3*
+X18376Y15938D3*
+X11500Y12813D3*
+X11875Y12688D3*
+X12188Y12938D3*
+X12500Y12688D3*
+X12313Y14188D3*
+X13125Y12688D3*
+X13438Y12938D3*
+X13938D3*
+X14376Y13000D3*
+X14626Y13313D3*
+X20501Y10750D3*
+X13751Y13563D3*
+X12938Y13813D3*
+X12813Y12938D3*
+X11125Y12688D3*
+X12625Y14001D3*
+X12000Y14376D3*
+X12438Y17001D3*
+X12063D3*
+X11688D3*
+X11313Y16876D3*
+X16063Y10313D3*
X17751Y9625D3*
-X32565Y11000D3*
-X29814Y19751D3*
-X18563Y18251D3*
-X21688Y7312D3*
-X23064Y8688D3*
-X21626Y8938D3*
-X29939Y16751D3*
-X27627Y16626D3*
-X27564Y13501D3*
-X6874Y18751D3*
-X28752Y13501D3*
-X29127Y15126D3*
-X31627Y11250D3*
-X8327Y22440D2*
+X16376Y7437D3*
+X15938Y7937D3*
+X18814Y16438D3*
+X32002Y9500D3*
+X34565Y7500D3*
+X35440D3*
+X36315D3*
+X37566D3*
+X18376Y16813D3*
+X23877Y18001D3*
+X24127Y18314D3*
+X14313Y14501D3*
+X26877Y10500D3*
+X28940Y10938D3*
+X13751Y7750D3*
+X14251Y7812D3*
+X20251Y9750D3*
+X15001Y14188D3*
+X8312Y17876D3*
+X20001Y12063D3*
+X19564Y11438D3*
+X16688Y13688D3*
+X29627Y10938D3*
+X30190Y10625D3*
+X24627Y11675D3*
+X30815Y11000D3*
+X31002Y12375D3*
+X30752Y12625D3*
+X30502Y12875D3*
+X30440Y13375D3*
+X28190Y13250D3*
+X18501Y12563D3*
+X26752Y7375D3*
+X29440Y7625D3*
+X30690D3*
+X32002Y7562D3*
+X32753D3*
+X21626Y18314D3*
+X22126D3*
+X22626D3*
+X27627Y13876D3*
+X27940Y14126D3*
+X27627Y14376D3*
+X28002Y14626D3*
+X27564Y15188D3*
+X27877Y15438D3*
+X18001Y10000D3*
+X15001Y8062D3*
+X15376D3*
+X24689Y18314D3*
+X24439Y18001D3*
+X27564Y15688D3*
+X27877Y15938D3*
+X24939Y18001D3*
+X25189Y18314D3*
+X27502Y16188D3*
+X27752Y16438D3*
+X27439Y16688D3*
+X23377Y18001D3*
+X23627Y18314D3*
+X23127D3*
+X8688Y16313D3*
+X27314Y17313D3*
+X32002Y10125D3*
+X27002Y17563D3*
+X31690Y14938D3*
+X10375Y18439D3*
+X28752Y17751D3*
+X29940Y13000D3*
+X26314Y11688D3*
+X22814Y7375D3*
+X23189D3*
+X25377D3*
+X25877D3*
+X22626Y15188D3*
+X21564Y15563D3*
+X21376Y10813D3*
+X22876Y12188D3*
+X19939Y17063D3*
+X21564Y16876D3*
+X23627Y10375D3*
+X43004Y8688D3*
+X31690Y16251D3*
+X36565Y12563D3*
+X38128D3*
+X19501Y10875D3*
+X23189Y20064D3*
+X30315Y18126D3*
+Y18564D3*
+Y19001D3*
+X15751Y13375D3*
+X21376Y7250D3*
+X21939Y7625D3*
+X22376Y18001D3*
+X22876D3*
+X21876D3*
+X35190Y8875D3*
+X37316Y7937D3*
+X32628Y8187D3*
+X31377Y8813D3*
+X27127Y9813D3*
+X25803Y9919D3*
+X17938Y8437D3*
+X30065Y16813D3*
+X15938Y8375D3*
+X18439Y13438D3*
+X17001Y7625D3*
+X17126Y9125D3*
+X15688Y9375D3*
+X11688D3*
+X9750Y7375D3*
+X8750D3*
+X17063Y10563D3*
+X13375Y10500D3*
+X15251D3*
+X7187Y13375D3*
+X31315Y11438D3*
+X30627Y10500D3*
+X29252Y11125D3*
+X28127Y16876D3*
+X11438Y19501D3*
+X16376Y14126D3*
+X9750Y12125D3*
+X13375Y9375D3*
+X13438Y18939D3*
+X28815Y13125D3*
+X23689Y7375D3*
+X24439D3*
+X4375Y14563D3*
+Y8688D3*
+X6500D3*
+X6562Y16688D3*
+X30440Y19751D3*
+X40316Y12875D3*
+X30065Y17876D3*
+X43004Y13313D3*
+X7937Y18063D3*
+X34753Y13375D3*
+X8750Y8312D3*
+X9625Y9375D3*
+X11063Y8312D3*
+X11688Y10500D3*
+X29002Y19376D3*
+X29752D3*
+X31752Y12688D3*
+X8250Y12813D3*
+X10438Y7375D3*
+X38628Y7250D3*
+X4375Y10313D3*
+Y12125D3*
+X43004D3*
+Y10313D3*
+X14938Y13063D3*
+X14688Y18939D3*
+X32753Y9938D3*
+X19501Y8125D3*
+X19126Y8000D3*
+X18751Y7937D3*
+X18376D3*
+X18001D3*
+X20626Y8500D3*
+X20251Y8375D3*
+X31614Y11661D3*
+X30752Y16813D3*
+X26503Y8033D3*
+X10327Y20565D2*
D17*
-X13117D1*
-X38569D2*
-X39050D1*
-X8077Y22192D2*
-X12867D1*
-X38819D2*
-X39300D1*
-X7831Y21943D2*
-X12617D1*
-X34065D2*
-X38046D1*
-X39065D2*
-X39546D1*
-X7581Y21694D2*
-X12371D1*
-X34307D2*
-X38292D1*
-X39315D2*
-X39796D1*
-X7335Y21446D2*
-X12121D1*
-X14143D2*
-X14566D1*
-X28124D2*
-X29699D1*
-X34370D2*
-X38542D1*
-X39565D2*
-X40042D1*
-X7085Y21197D2*
-X11871D1*
-X13893D2*
-X14320D1*
-X28374D2*
-X29535D1*
-X34370D2*
-X38792D1*
-X39811D2*
-X40292D1*
-X6835Y20948D2*
-X11624D1*
-X13647D2*
-X14070D1*
-X28620D2*
-X29503D1*
-X34370D2*
-X39039D1*
-X40061D2*
-X40542D1*
-X6589Y20699D2*
-X11374D1*
-X13397D2*
-X13820D1*
-X28870D2*
-X29582D1*
-X34370D2*
-X39289D1*
-X40311D2*
-X40789D1*
-X6339Y20451D2*
-X11124D1*
-X13147D2*
-X13574D1*
-X29120D2*
-X29804D1*
-X30444D2*
-X30804D1*
-X31444D2*
-X31804D1*
-X32444D2*
-X32804D1*
-X34370D2*
-X39539D1*
-X40557D2*
-X41039D1*
-X6089Y20202D2*
-X10878D1*
-X12901D2*
-X13324D1*
-X29366D2*
-X29804D1*
-X30444D2*
-X30804D1*
-X31444D2*
-X31804D1*
-X32444D2*
-X32636D1*
-X34370D2*
-X39785D1*
-X40807D2*
-X41289D1*
-X5842Y19953D2*
-X10628D1*
-X12651D2*
-X13234D1*
-X29616D2*
-X29804D1*
-X30444D2*
-X30804D1*
-X31444D2*
-X31804D1*
-X34370D2*
-X40035D1*
-X41057D2*
-X41535D1*
-X5592Y19705D2*
-X10378D1*
-X12401D2*
-X13136D1*
-X17772D2*
-X18828D1*
-X30444D2*
-X30804D1*
-X31444D2*
-X31804D1*
-X33022D2*
-X33320D1*
-X34370D2*
-X40285D1*
-X41303D2*
-X41785D1*
-X5342Y19456D2*
-X10132D1*
-X12155D2*
-X13207D1*
-X17667D2*
-X18953D1*
-X30444D2*
-X30804D1*
-X31444D2*
-X31640D1*
-X32772D2*
-X33320D1*
-X34370D2*
-X40531D1*
-X41553D2*
-X42035D1*
-X5096Y19207D2*
-X9882D1*
-X11905D2*
-X15453D1*
-X16382D2*
-X19789D1*
-X23421D2*
-X25476D1*
-X30608D2*
-X30804D1*
-X32522D2*
-X33320D1*
-X34370D2*
-X40781D1*
-X41803D2*
-X42281D1*
-X4846Y18959D2*
-X9632D1*
-X11655D2*
-X15207D1*
-X16276D2*
-X20324D1*
-X23671D2*
-X25726D1*
-X32276D2*
-X33320D1*
-X34370D2*
-X41031D1*
-X42049D2*
-X42531D1*
-X4596Y18710D2*
-X9386D1*
-X11409D2*
-X14957D1*
-X16026D2*
-X20394D1*
-X23917D2*
-X25972D1*
-X32026D2*
-X33320D1*
-X34370D2*
-X41277D1*
-X42299D2*
-X42781D1*
-X4350Y18461D2*
-X9070D1*
-X11159D2*
-X13144D1*
-X15776D2*
-X20324D1*
-X24167D2*
-X26222D1*
-X31776D2*
-X33320D1*
-X34370D2*
-X41527D1*
-X42549D2*
-X43027D1*
-X4337Y18213D2*
-X9011D1*
-X10909D2*
-X12906D1*
-X19721D2*
-X20117D1*
-X24417D2*
-X26339D1*
-X31760D2*
-X33320D1*
-X34370D2*
-X41777D1*
-X4337Y17964D2*
-X7953D1*
-X10663D2*
-X12906D1*
-X19721D2*
-X20011D1*
-X24663D2*
-X26339D1*
-X31760D2*
-X33320D1*
-X34370D2*
-X42023D1*
-X4337Y17715D2*
-X7703D1*
-X10413D2*
-X12906D1*
-X19721D2*
-X20078D1*
-X24913D2*
-X26339D1*
-X31760D2*
-X33320D1*
-X34370D2*
-X42023D1*
-X4337Y17467D2*
-X7453D1*
-X10163D2*
-X12906D1*
-X19721D2*
-X20011D1*
-X35499D2*
-X42023D1*
-X4337Y17218D2*
-X7207D1*
-X9905D2*
-X12906D1*
-X19721D2*
-X20074D1*
-X26624D2*
-X26839D1*
-X30776D2*
-X31476D1*
-X35858D2*
-X42023D1*
-X4337Y16969D2*
-X6957D1*
-X8417D2*
-X8769D1*
-X9835D2*
-X12906D1*
-X19721D2*
-X20027D1*
-X26624D2*
-X26812D1*
-X30799D2*
-X31476D1*
-X36108D2*
-X43042D1*
-X4337Y16720D2*
-X6707D1*
-X8167D2*
-X8519D1*
-X9542D2*
-X12699D1*
-X26624D2*
-X26812D1*
-X27549D2*
-X30015D1*
-X30753D2*
-X31476D1*
-X36354D2*
-X43042D1*
-X4337Y16472D2*
-X6136D1*
-X7921D2*
-X8273D1*
-X9296D2*
-X12636D1*
-X27549D2*
-X29109D1*
-X36495D2*
-X43042D1*
-X4337Y16223D2*
-X6070D1*
-X7671D2*
-X8023D1*
-X9046D2*
-X12742D1*
-X27549D2*
-X29085D1*
-X36495D2*
-X43042D1*
-X4337Y15974D2*
-X6070D1*
-X7421D2*
-X7796D1*
-X8796D2*
-X12742D1*
-X21042D2*
-X21843D1*
-X27503D2*
-X29085D1*
-X29823D2*
-X31476D1*
-X36495D2*
-X43042D1*
-X4337Y15726D2*
-X6070D1*
-X7174D2*
-X7757D1*
-X8549D2*
-X12742D1*
-X18424D2*
-X19347D1*
-X20792D2*
-X21597D1*
-X26624D2*
-X29085D1*
-X29823D2*
-X31476D1*
-X36495D2*
-X43042D1*
-X4337Y15477D2*
-X6070D1*
-X7120D2*
-X7757D1*
-X8495D2*
-X12742D1*
-X18370D2*
-X19097D1*
-X20905D2*
-X21347D1*
-X26624D2*
-X29085D1*
-X29823D2*
-X31476D1*
-X36495D2*
-X43042D1*
-X4337Y15228D2*
-X6070D1*
-X7120D2*
-X7757D1*
-X8495D2*
-X12742D1*
-X17882D2*
-X18851D1*
-X27428D2*
-X29085D1*
+X26391D1*
+X10081Y20316D2*
+X22851D1*
+X23526D2*
+X26391D1*
+X9831Y20068D2*
+X21340D1*
+X9581Y19819D2*
+X21340D1*
X29823D2*
-X31476D1*
-X36495D2*
-X43042D1*
-X4337Y14980D2*
+X30476D1*
+X9335Y19570D2*
+X21340D1*
+X28929D2*
+X30476D1*
+X9085Y19322D2*
+X21340D1*
+X28929D2*
+X30047D1*
+X8835Y19073D2*
+X21340D1*
+X28929D2*
+X29894D1*
+X8589Y18824D2*
+X12133D1*
+X8339Y18576D2*
+X11883D1*
+X8089Y18327D2*
+X11637D1*
+X8687Y18078D2*
+X11387D1*
+X7593Y17830D2*
+X7753D1*
+X8737D2*
+X11137D1*
+X7343Y17581D2*
+X7510D1*
+X8612D2*
+X10891D1*
+X7097Y17332D2*
+X7261D1*
+X8280D2*
+X10641D1*
+X6847Y17083D2*
+X7011D1*
+X8030D2*
+X10391D1*
+X29952D2*
+X30105D1*
+X6597Y16835D2*
+X6768D1*
+X7780D2*
+X10144D1*
+X29952D2*
+X30324D1*
+X6351Y16586D2*
+X6695D1*
+X9007D2*
+X9945D1*
+X29952D2*
+X30371D1*
+X31109D2*
+X31344D1*
+X6101Y16337D2*
+X6695D1*
+X9112D2*
+X9930D1*
+X29952D2*
+X30371D1*
+X31011D2*
+X31594D1*
+X5851Y16089D2*
+X6695D1*
+X9355D2*
+X9844D1*
+X29952D2*
+X30371D1*
+X31011D2*
+X31695D1*
+X5605Y15840D2*
+X6695D1*
+X9355D2*
+X9844D1*
+X16659D2*
+X16906D1*
+X29952D2*
+X30371D1*
+X31011D2*
+X31695D1*
+X5355Y15591D2*
+X6695D1*
+X9355D2*
+X9844D1*
+X16659D2*
+X16906D1*
+X29952D2*
+X30371D1*
+X31011D2*
+X31695D1*
+X5105Y15343D2*
+X6387D1*
+X9355D2*
+X9844D1*
+X16659D2*
+X16906D1*
+X29952D2*
+X30371D1*
+X31011D2*
+X31695D1*
+X4859Y15094D2*
+X6207D1*
+X9355D2*
+X9844D1*
+X16659D2*
+X16906D1*
+X29952D2*
+X30371D1*
+X31011D2*
+X31695D1*
+X4609Y14845D2*
X6070D1*
-X7120D2*
-X7757D1*
-X8495D2*
-X12742D1*
-X17046D2*
-X17242D1*
-X17882D2*
-X18132D1*
-X27546D2*
-X29085D1*
-X36495D2*
-X43042D1*
-X4337Y14731D2*
+X9355D2*
+X9844D1*
+X16659D2*
+X16906D1*
+X29952D2*
+X30371D1*
+X31011D2*
+X31695D1*
+X4359Y14597D2*
X6070D1*
-X7120D2*
-X7757D1*
-X8495D2*
-X12742D1*
-X27546D2*
-X29085D1*
-X36495D2*
-X43042D1*
-X4337Y14482D2*
+X9355D2*
+X9844D1*
+X16659D2*
+X16906D1*
+X29952D2*
+X30371D1*
+X31011D2*
+X31695D1*
+X4337Y14348D2*
X6070D1*
-X7120D2*
-X7542D1*
-X9854D2*
-X12742D1*
-X20221D2*
-X20507D1*
-X26624D2*
-X26808D1*
-X27546D2*
-X29085D1*
-X36495D2*
-X43042D1*
-X4337Y14234D2*
+X9355D2*
+X10680D1*
+X16444D2*
+X17023D1*
+X29952D2*
+X30371D1*
+X31011D2*
+X31695D1*
+X4337Y14099D2*
X6070D1*
-X7120D2*
-X7542D1*
-X9854D2*
-X12742D1*
-X20221D2*
-X20753D1*
-X23612D2*
-X23776D1*
-X26624D2*
-X26808D1*
-X27546D2*
-X29085D1*
-X29823D2*
-X31476D1*
-X36495D2*
-X43042D1*
-X4337Y13985D2*
+X6808D2*
+X7043D1*
+X9355D2*
+X10680D1*
+X14808D2*
+X14973D1*
+X16444D2*
+X17273D1*
+X29952D2*
+X30371D1*
+X31011D2*
+X31695D1*
+X32745D2*
+X33019D1*
+X4337Y13851D2*
X6070D1*
-X7120D2*
-X7542D1*
-X9854D2*
-X12742D1*
-X20221D2*
-X20882D1*
-X23362D2*
-X23785D1*
-X26624D2*
-X26808D1*
-X27546D2*
-X28867D1*
-X30874D2*
-X31476D1*
-X36495D2*
-X43042D1*
-X4337Y13736D2*
+X6808D2*
+X8383D1*
+X9120D2*
+X10680D1*
+X14944D2*
+X15211D1*
+X29952D2*
+X30371D1*
+X31011D2*
+X31695D1*
+X32745D2*
+X33266D1*
+X4337Y13602D2*
X6070D1*
-X7120D2*
-X7542D1*
-X9854D2*
-X12742D1*
-X23354D2*
-X24062D1*
-X26624D2*
-X26808D1*
-X27546D2*
-X28699D1*
-X34999D2*
-X35246D1*
-X36495D2*
-X43042D1*
-X4337Y13488D2*
+X6808D2*
+X8281D1*
+X10276D2*
+X10680D1*
+X14944D2*
+X15457D1*
+X29952D2*
+X30082D1*
+X31011D2*
+X31695D1*
+X32745D2*
+X33516D1*
+X4337Y13353D2*
X6070D1*
-X7120D2*
-X7542D1*
-X9854D2*
-X12742D1*
-X23428D2*
-X24386D1*
-X27561D2*
-X28695D1*
-X34573D2*
-X35246D1*
-X36495D2*
-X43042D1*
-X4337Y13239D2*
+X6808D2*
+X8281D1*
+X10526D2*
+X10680D1*
+X15050D2*
+X15707D1*
+X18159D2*
+X18644D1*
+X31011D2*
+X31695D1*
+X32745D2*
+X33766D1*
+X36077D2*
+X38641D1*
+X40007D2*
+X40715D1*
+X42042D2*
+X43043D1*
+X4337Y13104D2*
X6070D1*
-X7120D2*
-X7542D1*
-X10331D2*
-X12742D1*
-X23331D2*
-X24632D1*
-X27811D2*
-X28695D1*
-X34573D2*
-X35246D1*
-X36495D2*
-X43042D1*
-X4337Y12990D2*
+X6808D2*
+X8281D1*
+X31089D2*
+X31695D1*
+X32745D2*
+X34012D1*
+X36077D2*
+X38641D1*
+X40007D2*
+X40715D1*
+X42042D2*
+X43043D1*
+X4337Y12856D2*
X6070D1*
-X7120D2*
-X7542D1*
-X10600D2*
-X12738D1*
-X26624D2*
-X27039D1*
-X34573D2*
-X35246D1*
-X36495D2*
-X43042D1*
-X4337Y12741D2*
+X6808D2*
+X8281D1*
+X31339D2*
+X31695D1*
+X32745D2*
+X34262D1*
+X36077D2*
+X38641D1*
+X40007D2*
+X40660D1*
+X42042D2*
+X43043D1*
+X4337Y12607D2*
X6070D1*
-X7120D2*
-X7542D1*
-X10850D2*
-X12363D1*
-X18921D2*
-X20382D1*
-X26624D2*
-X27289D1*
-X34600D2*
-X35246D1*
-X36495D2*
-X43042D1*
-X4337Y12493D2*
+X6808D2*
+X8281D1*
+X9730D2*
+X10203D1*
+X32745D2*
+X34574D1*
+X36077D2*
+X38641D1*
+X40007D2*
+X40410D1*
+X42042D2*
+X43043D1*
+X4337Y12358D2*
X6070D1*
-X7120D2*
-X7542D1*
-X11100D2*
-X12328D1*
-X20155D2*
-X20574D1*
-X33565D2*
-X33785D1*
-X34596D2*
-X35246D1*
-X36495D2*
-X43042D1*
-X4337Y12244D2*
+X6808D2*
+X8281D1*
+X9218D2*
+X9844D1*
+X32745D2*
+X34711D1*
+X36077D2*
+X38641D1*
+X40007D2*
+X40164D1*
+X41187D2*
+X43043D1*
+X4337Y12110D2*
X6070D1*
-X7991D2*
-X8328D1*
-X9721D2*
-X9976D1*
-X11346D2*
-X12480D1*
-X16647D2*
-X17660D1*
-X22882D2*
-X23074D1*
-X25733D2*
-X26339D1*
-X31760D2*
-X32246D1*
-X33573D2*
-X34035D1*
-X34342D2*
-X35246D1*
-X36495D2*
-X43042D1*
-X5885Y11995D2*
+X6808D2*
+X8281D1*
+X9218D2*
+X9844D1*
+X32745D2*
+X34711D1*
+X36077D2*
+X38641D1*
+X40937D2*
+X43043D1*
+X5901Y11861D2*
X6070D1*
-X8264D2*
-X8578D1*
-X9717D2*
-X9914D1*
-X22882D2*
-X23156D1*
-X24667D2*
-X26339D1*
-X31760D2*
-X32246D1*
-X33573D2*
-X35246D1*
-X36495D2*
+X6808D2*
+X8281D1*
+X30929D2*
+X31238D1*
+X32745D2*
+X34711D1*
+X36077D2*
+X38641D1*
+X40687D2*
X41480D1*
-X5901Y11747D2*
+X5901Y11612D2*
X6070D1*
-X8514D2*
-X9148D1*
-X9475D2*
-X9914D1*
-X22850D2*
-X23074D1*
-X24694D2*
-X26339D1*
-X31760D2*
-X32121D1*
-X33573D2*
-X35246D1*
-X36495D2*
+X6808D2*
+X8445D1*
+X30644D2*
+X31187D1*
+X32745D2*
+X34711D1*
+X40441D2*
X41480D1*
-X5901Y11498D2*
+X5901Y11364D2*
X6070D1*
-X7120D2*
-X7707D1*
-X8917D2*
-X9914D1*
-X10772D2*
-X11105D1*
-X22628D2*
-X23160D1*
-X24694D2*
-X26339D1*
-X33573D2*
-X35246D1*
-X36495D2*
+X6808D2*
+X9844D1*
+X20452D2*
+X21340D1*
+X28929D2*
+X30012D1*
+X31015D2*
+X31316D1*
+X32745D2*
+X35039D1*
+X40191D2*
X41480D1*
-X5901Y11249D2*
+X5901Y11115D2*
X6070D1*
-X7120D2*
-X7707D1*
-X8917D2*
-X12097D1*
-X22378D2*
-X23074D1*
-X24694D2*
-X26367D1*
-X33573D2*
-X35246D1*
-X36495D2*
+X6808D2*
+X9844D1*
+X20569D2*
+X21340D1*
+X30015D2*
+X30258D1*
+X31226D2*
+X31695D1*
+X32745D2*
X41480D1*
-X5901Y11001D2*
+X5901Y10866D2*
X6070D1*
-X7120D2*
-X7707D1*
-X8917D2*
-X11574D1*
-X22128D2*
-X23160D1*
-X32632D2*
-X32933D1*
-X33573D2*
-X35246D1*
-X36495D2*
+X6808D2*
+X10465D1*
+X19444D2*
+X19934D1*
+X20569D2*
+X21340D1*
+X31218D2*
+X31695D1*
+X32745D2*
X41480D1*
-X5901Y10752D2*
+X5901Y10618D2*
X6070D1*
-X7120D2*
-X7707D1*
-X8917D2*
-X9660D1*
-X21882D2*
-X23554D1*
-X32581D2*
-X32874D1*
-X33573D2*
-X34136D1*
-X34991D2*
-X35164D1*
-X36495D2*
+X6808D2*
+X10691D1*
+X19444D2*
+X19934D1*
+X21198D2*
+X21340D1*
+X30964D2*
+X31262D1*
+X32745D2*
X41480D1*
-X5901Y10503D2*
+X4337Y10369D2*
X6070D1*
-X7120D2*
-X7707D1*
-X8917D2*
-X9414D1*
-X17112D2*
-X18492D1*
-X21632D2*
-X23804D1*
-X29757D2*
-X30187D1*
-X33507D2*
-X34136D1*
-X36495D2*
-X41480D1*
-X4337Y10255D2*
+X6808D2*
+X7457D1*
+X19444D2*
+X19934D1*
+X30526D2*
+X31262D1*
+X32745D2*
+X43043D1*
+X4337Y10120D2*
X6070D1*
-X7120D2*
-X9164D1*
-X16971D2*
-X18601D1*
-X21507D2*
-X23476D1*
-X29507D2*
-X30117D1*
-X36495D2*
-X43042D1*
-X4337Y10006D2*
+X6808D2*
+X7457D1*
+X19448D2*
+X19934D1*
+X32745D2*
+X43043D1*
+X4337Y9872D2*
X6070D1*
-X17108D2*
-X17316D1*
-X21507D2*
-X23328D1*
-X29260D2*
-X30117D1*
-X36495D2*
-X43042D1*
-X4337Y9757D2*
-X6074D1*
-X20698D2*
-X20867D1*
-X21507D2*
-X23062D1*
-X29010D2*
-X30117D1*
-X36495D2*
-X43042D1*
-X4337Y9509D2*
-X6230D1*
-X9893D2*
-X11574D1*
-X12428D2*
-X16816D1*
-X20507D2*
-X20867D1*
-X21507D2*
-X22859D1*
-X28760D2*
-X30117D1*
-X36819D2*
-X43042D1*
-X4337Y9260D2*
-X6480D1*
-X9647D2*
-X16570D1*
-X20507D2*
-X20867D1*
-X21507D2*
-X22707D1*
-X28514D2*
-X30117D1*
-X37065D2*
-X43042D1*
-X4337Y9011D2*
-X8816D1*
-X9553D2*
-X11070D1*
-X20507D2*
-X20867D1*
-X21507D2*
-X22738D1*
-X28264D2*
-X30124D1*
-X31436D2*
-X33050D1*
-X33448D2*
-X34136D1*
-X34991D2*
-X35304D1*
-X35698D2*
-X36292D1*
-X37315D2*
-X43042D1*
-X4337Y8762D2*
+X6808D2*
+X7457D1*
+X19694D2*
+X19844D1*
+X25804D2*
+X27383D1*
+X32745D2*
+X43043D1*
+X4337Y9623D2*
+X6070D1*
+X6890D2*
+X7457D1*
+X25804D2*
+X27344D1*
+X36702D2*
+X43043D1*
+X4337Y9374D2*
+X6125D1*
+X7136D2*
+X7457D1*
+X21468D2*
+X21820D1*
+X25804D2*
+X27344D1*
+X36952D2*
+X43043D1*
+X4337Y9125D2*
+X6363D1*
+X9554D2*
+X17012D1*
+X21468D2*
+X21820D1*
+X25804D2*
+X27578D1*
+X27925D2*
+X29703D1*
+X30050D2*
+X31262D1*
+X32175D2*
+X36180D1*
+X37202D2*
+X43043D1*
+X4337Y8877D2*
+X6613D1*
+X9554D2*
+X10762D1*
+X21468D2*
+X21820D1*
+X25804D2*
+X36430D1*
+X37448D2*
+X43043D1*
+X4337Y8628D2*
X8816D1*
-X9553D2*
-X10820D1*
-X19514D2*
-X19867D1*
-X20507D2*
-X20867D1*
-X21507D2*
-X23199D1*
-X28014D2*
-X30296D1*
-X31444D2*
-X34136D1*
-X34991D2*
-X36542D1*
-X37565D2*
-X43042D1*
-X9553Y8514D2*
-X10574D1*
-X11456D2*
-X16636D1*
-X19507D2*
-X19867D1*
-X20507D2*
-X20867D1*
-X21507D2*
-X22949D1*
-X23831D2*
-X25324D1*
-X27768D2*
-X30429D1*
-X31444D2*
-X34136D1*
-X34991D2*
-X36789D1*
-X37811D2*
-X38664D1*
-X9553Y8265D2*
-X10324D1*
-X11206D2*
-X16386D1*
-X19507D2*
-X19867D1*
-X20507D2*
-X20867D1*
-X21507D2*
-X22699D1*
-X23581D2*
-X25074D1*
-X27518D2*
-X30429D1*
-X31444D2*
-X37039D1*
-X38061D2*
+X9554D2*
+X10500D1*
+X21034D2*
+X21867D1*
+X25941D2*
+X36676D1*
+X37698D2*
+X43043D1*
+X9554Y8379D2*
+X10250D1*
+X21034D2*
+X21867D1*
+X26187D2*
+X31547D1*
+X32480D2*
+X36926D1*
+X37948D2*
X38664D1*
-X9553Y8016D2*
-X10074D1*
-X11577D2*
-X13386D1*
-X18581D2*
-X18867D1*
-X19507D2*
-X19867D1*
-X20507D2*
-X20867D1*
-X21507D2*
-X22453D1*
-X27268D2*
-X30429D1*
-X31444D2*
-X37289D1*
-X38311D2*
+X9554Y8131D2*
+X10004D1*
+X11566D2*
+X13594D1*
+X16316D2*
+X17621D1*
+X20944D2*
+X21867D1*
+X23507D2*
+X23742D1*
+X26437D2*
+X31547D1*
+X32480D2*
+X37176D1*
+X38194D2*
X38664D1*
-X9553Y7768D2*
-X9878D1*
-X11678D2*
-X13140D1*
-X18507D2*
-X18867D1*
-X19507D2*
-X19867D1*
-X20507D2*
-X20867D1*
-X21507D2*
-X22203D1*
-X27046D2*
-X30429D1*
-X31444D2*
-X34871D1*
-X35378D2*
-X35746D1*
-X36253D2*
-X36558D1*
-X37065D2*
-X37433D1*
-X38487D2*
+X9554Y7882D2*
+X9867D1*
+X10636D2*
+X10765D1*
+X11612D2*
+X13344D1*
+X16437D2*
+X17504D1*
+X20944D2*
+X21867D1*
+X23507D2*
+X23992D1*
+X26687D2*
+X26980D1*
+X33023D2*
+X34414D1*
+X34718D2*
+X35289D1*
+X35593D2*
+X36164D1*
+X36468D2*
+X37414D1*
+X38437D2*
X38664D1*
-X9553Y7519D2*
+X9554Y7633D2*
X9867D1*
X10507D2*
X10867D1*
-X11604D2*
-X12890D1*
-X18507D2*
-X18867D1*
-X19507D2*
-X19867D1*
-X20507D2*
-X20867D1*
-X21507D2*
-X21953D1*
-X27014D2*
-X27941D1*
-X28682D2*
-X28816D1*
-X29557D2*
-X30429D1*
-X33921D2*
-X34707D1*
-X9553Y7270D2*
+X11507D2*
+X12691D1*
+X16753D2*
+X17254D1*
+X20944D2*
+X21867D1*
+X23523D2*
+X24238D1*
+X33937D2*
+X34160D1*
+X36722D2*
+X37160D1*
+X9554Y7385D2*
X9867D1*
X10507D2*
X10867D1*
X11507D2*
-X12152D1*
-X18507D2*
-X18867D1*
-X19507D2*
-X19867D1*
-X20507D2*
-X20867D1*
-X21507D2*
+X12445D1*
+X20995D2*
X21867D1*
-X22589D2*
-X24867D1*
-X25507D2*
-X27878D1*
-X29612D2*
-X30003D1*
-X34171D2*
+X23616D2*
+X24488D1*
+X36870D2*
+X37156D1*
+X42017Y13378D2*
+Y12424D1*
+X41224D1*
+X40059Y11257D1*
+X39956Y11187D1*
+X39816Y11157D1*
+X35378D1*
+X35256Y11179D1*
+X35149Y11244D1*
+X35073Y11342D1*
+X35037Y11464D1*
+X35043Y11424D1*
+X34927Y11491D1*
X34734D1*
-X42536Y18451D2*
-X43068D1*
-X41953Y19562D1*
-X38827Y22688D1*
-X38296Y22689D1*
-X42532Y18453D1*
-X43064Y17173D2*
-X42048D1*
-Y17966D1*
-X37917Y22096D1*
-X33892Y22095D1*
-X34245Y21740D1*
-X34316Y21637D1*
-X34346Y21497D1*
-Y17641D1*
-X34519Y17470D1*
-X35441Y17468D1*
-X35564Y17446D1*
-X35684Y17368D1*
-X36370Y16681D1*
-X36441Y16579D1*
-X36471Y16438D1*
-Y9716D1*
-X36505Y9712D1*
-X36621Y9667D1*
-X36772Y9529D1*
+Y12596D1*
+X34690Y12594D1*
+X34568Y12617D1*
+X34447Y12695D1*
+X32721Y14421D1*
+Y9841D1*
+X33377Y9844D1*
+X36315D1*
+X36438Y9821D1*
+X36558Y9743D1*
X38371Y7930D1*
-X38442Y7824D1*
+X38443Y7824D1*
X38496Y7695D1*
-X38560Y7589D1*
+X38561Y7589D1*
X38590Y7437D1*
-X38570Y7314D1*
+X38571Y7314D1*
X38501Y7189D1*
-X38691Y7188D1*
-X38690Y8500D1*
-X38751Y8605D1*
-X38815Y8625D1*
+X38692Y7188D1*
+X38691Y8500D1*
+X38752Y8605D1*
+X38816Y8625D1*
X43066D1*
Y10375D1*
X41628D1*
X41523Y10436D1*
X41503Y10500D1*
Y11938D1*
-X41564Y12043D1*
+X41565Y12043D1*
X41628Y12063D1*
X43066D1*
-Y17176D1*
-X8650Y11517D2*
-X8892D1*
-Y10357D1*
-X7732D1*
-Y11517D1*
-X7744D1*
-X7669Y11595D1*
-X7089Y11594D1*
-X7093Y11188D1*
-Y10145D1*
-X7205Y10030D1*
-X8964Y10031D1*
-X9739Y10805D1*
-X9842Y10876D1*
-X9982Y10906D1*
-X11596D1*
-X11597Y11192D1*
-X12179D1*
-X12118Y11253D1*
-X12058Y11362D1*
-X12038Y11465D1*
-X11375Y11468D1*
-X11268D1*
-X11146Y11491D1*
-X11025Y11569D1*
-X10746Y11848D1*
-X10745Y11325D1*
-X9940D1*
-Y12209D1*
-X9999D1*
-Y12591D1*
-X9827Y12593D1*
-X9829Y12318D1*
-X9661D1*
-X9685Y12276D1*
-X9715Y12125D1*
-X9695Y12001D1*
-X9640Y11890D1*
-X9552Y11801D1*
-X9442Y11743D1*
-X9320Y11722D1*
-X9196Y11739D1*
-X9109Y11781D1*
-X8960D1*
-X8837Y11803D1*
-X8717Y11881D1*
-X8283Y12315D1*
-X7567Y12318D1*
-X7571Y13556D1*
-X7567Y13505D1*
-Y14619D1*
-X7782D1*
-X7781Y15813D1*
-X7803Y15936D1*
-X7882Y16056D1*
-X8984Y17158D1*
-X8582Y17157D1*
-X7092Y15670D1*
-X7093Y12278D1*
-X7625Y12282D1*
-X7812D1*
-X7934Y12259D1*
-X8055Y12181D1*
-X8555Y11681D1*
-X8627Y11575D1*
-X8598Y11627D1*
-X12403Y9595D2*
-Y9308D1*
-X11597D1*
-Y9593D1*
-X9957D1*
-X9527Y9166D1*
-X9530Y8250D1*
+Y13376D1*
+X42015D1*
+X40740Y12912D2*
+Y13373D1*
+X40218Y13376D1*
+X40134Y13359D1*
+X39982D1*
+Y12154D1*
+X40741Y12912D1*
+X38664Y11846D2*
+Y13362D1*
+X37884Y13359D1*
+X36052D1*
+Y11841D1*
+X37503Y11844D1*
+X38663D1*
+X7608Y10455D2*
+X8642D1*
+Y10404D1*
+X10812Y10406D1*
+X11918D1*
+X11969Y10517D1*
+X10938Y10518D1*
+X10813Y10547D1*
+X10730Y10605D1*
+X10505Y10839D1*
+X10383Y10882D1*
+X9867D1*
+Y11394D1*
+X8825D1*
+X8703Y11416D1*
+X8582Y11494D1*
+X8462Y11625D1*
+X8430Y11688D1*
+X8415Y11737D1*
+X8308Y11785D1*
+X8312Y12591D1*
+X8308Y12535D1*
+X8312Y13341D1*
+X8308Y13285D1*
+Y13841D1*
+X8405D1*
+X8406Y13942D1*
+X8170Y13948D1*
+X8228Y13944D1*
+X7068D1*
+X7062Y14219D1*
+X6940Y14242D1*
+X6831Y14309D1*
+X6862Y14284D1*
+X6781Y14313D1*
+Y9708D1*
+X7142Y9344D1*
+X7480D1*
+X7483Y10455D1*
+X7608D1*
+X9192Y12532D2*
+Y12079D1*
+X9868Y12081D1*
+X9867Y12593D1*
+X10238D1*
+X10188Y12644D1*
+X9707D1*
+X9704Y12535D1*
+X9188D1*
+X17036Y10406D2*
+Y10538D1*
+X16938Y10518D1*
+X16411D1*
+X16450Y10407D1*
+X17036Y10406D1*
+X17642Y9058D2*
+X17036D1*
+Y9343D1*
+X14645Y9344D1*
+X14568Y9307D1*
+X14446Y9285D1*
+X14322Y9302D1*
+X14235Y9344D1*
+X12520D1*
+X12443Y9307D1*
+X12320Y9285D1*
+X12197Y9302D1*
+X12109Y9344D1*
+X9528D1*
+X9530Y8188D1*
Y7246D1*
-X9894Y7247D1*
-X9892Y7686D1*
-X9920Y7810D1*
-X9978Y7894D1*
-X11104Y9021D1*
-X11212Y9089D1*
-X11313Y9107D1*
-X16443Y9109D1*
-X17480Y10146D1*
-X17588Y10214D1*
-X17688Y10232D1*
-X18598D1*
-X18640Y10261D1*
-X18621Y10300D1*
-X18598Y10426D1*
-X18437Y10580D1*
-X17089D1*
-X17070Y10439D1*
-X17015Y10328D1*
-X16941Y10253D1*
-X16996Y10195D1*
-X17060Y10089D1*
-X17090Y9937D1*
-X17070Y9814D1*
-X17015Y9703D1*
-X16927Y9614D1*
-X16817Y9556D1*
-X16695Y9534D1*
-X16571Y9551D1*
-X16484Y9593D1*
-X14769D1*
-X14692Y9556D1*
-X14570Y9534D1*
-X14446Y9551D1*
-X14359Y9593D1*
-X12402D1*
-X34967Y9028D2*
-Y8445D1*
-X34162D1*
-Y9035D1*
-X33460Y9031D1*
-X33380Y8993D1*
-X33257Y8972D1*
-X33134Y8989D1*
-X33046Y9031D1*
-X31402D1*
-X31419Y8937D1*
-Y7667D1*
-X33625Y7669D1*
-X33749Y7641D1*
-X33833Y7583D1*
-X34217Y7199D1*
-X34801Y7196D1*
-X34746Y7300D1*
-X34722Y7422D1*
-X34737Y7545D1*
-X34788Y7659D1*
-X34872Y7751D1*
-X34980Y7813D1*
-X35102Y7839D1*
-X35226Y7827D1*
-X35340Y7777D1*
-X35434Y7695D1*
-X35498Y7589D1*
-X35527Y7437D1*
-X35508Y7314D1*
-X35482Y7262D1*
-X35562Y7194D1*
-X35676D1*
-X35621Y7300D1*
-X35597Y7422D1*
-X35612Y7545D1*
-X35663Y7659D1*
-X35747Y7751D1*
-X35855Y7813D1*
-X35977Y7839D1*
-X36101Y7827D1*
-X36215Y7777D1*
-X36309Y7695D1*
-X36373Y7589D1*
-X36402Y7451D1*
-X36424Y7545D1*
-X36476Y7659D1*
-X36560Y7751D1*
-X36668Y7813D1*
-X36789Y7839D1*
-X36913Y7827D1*
-X37027Y7777D1*
-X37121Y7695D1*
-X37185Y7589D1*
-X37214Y7451D1*
-X37285Y7422D1*
-X37299Y7545D1*
-X37351Y7659D1*
-X37435Y7751D1*
-X37526Y7803D1*
-X36296Y9033D1*
-X35709Y9031D1*
-X35633Y8994D1*
-X35510Y8972D1*
-X35387Y8989D1*
-X35299Y9031D1*
-X34967D1*
-X34287Y10868D2*
-X34967D1*
-Y10341D1*
-X35131Y10343D1*
-X35100Y10485D1*
-X35115Y10609D1*
-X35166Y10722D1*
-X35250Y10814D1*
-X35274Y10828D1*
-X35270Y11500D1*
-Y13887D1*
-X35130Y13806D1*
-X34996Y13786D1*
-X34952Y13703D1*
-X34865Y13614D1*
-X34755Y13556D1*
-X34632Y13534D1*
-X34543Y13547D1*
-X34547Y12809D1*
-X34563Y12777D1*
-X34592Y12625D1*
-X34573Y12502D1*
-X34517Y12391D1*
-X34430Y12302D1*
-X34320Y12244D1*
-X34197Y12223D1*
-X34074Y12240D1*
-X33962Y12293D1*
-X33871Y12379D1*
-X33811Y12488D1*
-X33800Y12545D1*
-X33761Y12552D1*
-X33649Y12606D1*
-X33559Y12692D1*
-X33499Y12801D1*
-X33487Y12858D1*
-X33449Y12865D1*
-X33337Y12919D1*
-X33246Y13004D1*
-X33186Y13113D1*
-X33175Y13170D1*
-X33136Y13177D1*
-X33024Y13231D1*
-X32928Y13327D1*
-X32880Y13306D1*
-X32807Y13293D1*
-X33460Y12643D1*
-X33528Y12535D1*
-X33547Y12434D1*
-Y10688D1*
-X33518Y10563D1*
-X33460Y10480D1*
-X33364Y10383D1*
-X33500Y10343D1*
-X34160D1*
-X34162Y10868D1*
-X34287D1*
-X32557Y10954D2*
-Y10610D1*
-X32757Y10609D1*
-X32958Y10813D1*
-Y11356D1*
-X32556Y10950D1*
-X9828Y13556D2*
-X9829Y13279D1*
-X10143Y13281D1*
-X10266Y13258D1*
-X10386Y13180D1*
-X11412Y12155D1*
-X12682Y12159D1*
-X12634Y12177D1*
-X12522Y12231D1*
-X12431Y12316D1*
-X12371Y12426D1*
-X12348Y12548D1*
-X12362Y12671D1*
-X12414Y12785D1*
-X12498Y12877D1*
-X12606Y12939D1*
-X12739Y12964D1*
-X12768Y13000D1*
-Y16223D1*
-X12683Y16362D1*
-X12660Y16484D1*
-X12674Y16608D1*
-X12726Y16721D1*
-X12810Y16813D1*
-X12929Y16878D1*
-Y18292D1*
-X13034D1*
-X13104Y18395D1*
-X13291Y18583D1*
-X13399Y18651D1*
-X13500Y18669D1*
-X14942Y18671D1*
-X15518Y19250D1*
-Y19292D1*
-X15445Y19284D1*
-X15321Y19301D1*
-X15209Y19355D1*
-X15127Y19433D1*
-X15052Y19364D1*
-X14942Y19306D1*
-X14820Y19284D1*
-X14696Y19301D1*
-X14584Y19355D1*
-X14502Y19433D1*
-X14427Y19364D1*
-X14317Y19306D1*
-X14195Y19284D1*
-X14071Y19301D1*
-X13959Y19355D1*
-X13877Y19433D1*
-X13802Y19364D1*
-X13692Y19306D1*
-X13570Y19284D1*
-X13446Y19301D1*
-X13334Y19355D1*
-X13243Y19441D1*
-X13183Y19550D1*
-X13160Y19672D1*
-X13174Y19795D1*
-X13226Y19909D1*
-X13265Y19952D1*
-X13294Y20121D1*
-X13354Y20208D1*
-X14616Y21470D1*
-X14145D1*
-X11857Y19184D1*
-X9880Y17216D1*
-X9839Y17156D1*
-X9821Y17003D1*
-X9765Y16892D1*
-X9678Y16803D1*
-X9567Y16745D1*
-X9533Y16739D1*
-X8468Y15670D1*
-Y14622D1*
-X8727Y14615D1*
-X8670Y14619D1*
-X9829D1*
-X9825Y13380D1*
-X30624Y20672D2*
-X30528Y20556D1*
-X30416Y20477D1*
-X30419Y19371D1*
-X30833Y18958D1*
-X30830Y20478D1*
-X30728Y20550D1*
-X30626Y20672D1*
-X29833Y20476D2*
-X29728Y20550D1*
-X29644Y20642D1*
-X29580Y20749D1*
-X29540Y20867D1*
-X29525Y20991D1*
-X29536Y21115D1*
-X29573Y21235D1*
-X29634Y21344D1*
-X29715Y21438D1*
-X29756Y21468D1*
-X28072Y21470D1*
-X29830Y19714D1*
-Y20480D1*
-X31624Y20672D2*
-X31528Y20556D1*
-X31416Y20477D1*
-X31419Y19207D1*
-X31832Y19624D1*
-X31830Y20478D1*
-X31728Y20550D1*
-X31626Y20672D1*
-X32624D2*
-X32528Y20556D1*
-X32416Y20477D1*
-X32419Y19957D1*
-X32828Y20370D1*
-X32830Y20478D1*
-X32728Y20550D1*
-X32626Y20672D1*
-X19371Y12591D2*
-X20130D1*
-Y12423D1*
-X20537Y12419D1*
-X20597Y12460D1*
-X20610Y12596D1*
-X20513Y12640D1*
-X20210Y12937D1*
-X20195Y12952D1*
-Y12881D1*
-X19429Y12885D1*
-X19320Y12881D1*
-X18929Y12885D1*
-X18894Y12881D1*
-X18902Y12812D1*
-X18883Y12689D1*
-X18832Y12588D1*
-X19618Y12591D1*
-Y12587D1*
-X11633Y7626D2*
-X11577Y7515D1*
-X11480Y7421D1*
-Y7245D1*
-X12137Y7242D1*
-X12172Y7268D1*
-X12287Y7314D1*
-X12716Y7318D1*
-X13479Y8083D1*
-X13587Y8151D1*
-X13688Y8169D1*
-X16318Y8172D1*
-X16696Y8550D1*
-X16613Y8522D1*
-X16188Y8518D1*
-X11432Y8516D1*
-X10480Y7561D1*
-Y7245D1*
-X10894D1*
-X10892Y7567D1*
-X10871Y7612D1*
-X10847Y7734D1*
-X10862Y7858D1*
-X10913Y7971D1*
-X10997Y8063D1*
-X11105Y8125D1*
-X11227Y8152D1*
-X11351Y8139D1*
-X11465Y8090D1*
-X11559Y8008D1*
-X11623Y7901D1*
-X11652Y7749D1*
-X11633Y7626D1*
-X6145Y16434D2*
-X6163Y16473D1*
-X6247Y16565D1*
-X6355Y16627D1*
-X6477Y16653D1*
-X6600Y16641D1*
-X6640Y16624D1*
-X8069Y18056D1*
-X8171Y18127D1*
-X8312Y18157D1*
-X9042D1*
-X9035Y18236D1*
-X9049Y18359D1*
-X9101Y18473D1*
-X9185Y18565D1*
-X9293Y18627D1*
-X9335Y18636D1*
-X13385Y22684D1*
-X13253Y22689D1*
-X8554D1*
-X4312Y18450D1*
+X9895Y7247D1*
+X9892Y7874D1*
+X9920Y7998D1*
+X9978Y8082D1*
+X10730Y8833D1*
+X10838Y8901D1*
+X10938Y8919D1*
+X17506Y8922D1*
+X17641Y9057D1*
+X18360Y13130D2*
+X18805D1*
+X18788Y13247D1*
+X18730Y13292D1*
+X18626Y13394D1*
+X18122D1*
+X18175Y13312D1*
+X18360Y13127D1*
+X32093Y9110D2*
+Y8995D1*
+X31287D1*
+Y9155D1*
+X30084Y9156D1*
+X30007Y9119D1*
+X29885Y9097D1*
+X29761Y9114D1*
+X29674Y9156D1*
+X27959D1*
+X27882Y9119D1*
+X27760Y9097D1*
+X27636Y9114D1*
+X27524Y9168D1*
+X27433Y9254D1*
+X27373Y9363D1*
+X27350Y9485D1*
+X27364Y9609D1*
+X27416Y9722D1*
+X27434Y9742D1*
+X27408Y9875D1*
+Y9918D1*
+X27373Y9988D1*
+X27355Y10083D1*
+X27247Y10079D1*
+X27148Y9979D1*
+X27040Y9912D1*
+X26939Y9893D1*
+X25939D1*
+X25815Y9922D1*
+X25880Y9900D1*
+X25779Y9817D1*
+X25776Y9308D1*
+X25779Y9055D1*
+Y8796D1*
+X25744D1*
+X26764Y7779D1*
+X26853Y7765D1*
+X26928Y7732D1*
+X26978Y7847D1*
+X27062Y7939D1*
+X27170Y8001D1*
+X27292Y8027D1*
+X27416Y8015D1*
+X27530Y7965D1*
+X27625Y7880D1*
+X27687Y7939D1*
+X27795Y8001D1*
+X27917Y8027D1*
+X28041Y8015D1*
+X28155Y7965D1*
+X28205Y7922D1*
+X28374Y7912D1*
+X28545Y8001D1*
+X28667Y8027D1*
+X28791Y8015D1*
+X28905Y7965D1*
+X28999Y7883D1*
+X29064Y7773D1*
+X29104Y7847D1*
+X29187Y7939D1*
+X29295Y8001D1*
+X29417Y8027D1*
+X29541Y8015D1*
+X29655Y7965D1*
+X29705Y7922D1*
+X30319Y7919D1*
+X30374Y7912D1*
+X30545Y8001D1*
+X30667Y8027D1*
+X30791Y8015D1*
+X30905Y7965D1*
+X30955Y7922D1*
+X31315Y7919D1*
+X31439Y7891D1*
+X31523Y7833D1*
+X31570Y7786D1*
+X31572Y8403D1*
+X32456D1*
+Y7844D1*
+X32608Y7938D1*
+X32730Y7964D1*
+X32854Y7952D1*
+X32968Y7903D1*
+X33018Y7859D1*
+X33565Y7857D1*
+X33690Y7828D1*
+X33773Y7771D1*
+X34187Y7363D1*
+X34163Y7485D1*
+X34177Y7608D1*
+X34229Y7722D1*
+X34313Y7814D1*
+X34421Y7876D1*
+X34542Y7902D1*
+X34666Y7890D1*
+X34781Y7840D1*
+X34874Y7758D1*
+X34938Y7651D1*
+X34967Y7514D1*
+X35038Y7485D1*
+X35052Y7608D1*
+X35104Y7722D1*
+X35188Y7814D1*
+X35296Y7876D1*
+X35418Y7902D1*
+X35541Y7890D1*
+X35656Y7840D1*
+X35749Y7758D1*
+X35813Y7651D1*
+X35842Y7514D1*
+X35913Y7485D1*
+X35928Y7608D1*
+X35979Y7722D1*
+X36063Y7814D1*
+X36171Y7876D1*
+X36293Y7902D1*
+X36417Y7890D1*
+X36531Y7840D1*
+X36624Y7758D1*
+X36689Y7651D1*
+X36718Y7514D1*
+X37040Y7192D1*
+X37309Y7190D1*
+X37247Y7253D1*
+X37187Y7363D1*
+X37163Y7485D1*
+X37178Y7608D1*
+X37229Y7722D1*
+X37313Y7814D1*
+X37421Y7876D1*
+X37448Y7882D1*
+X36175Y9154D1*
+X33565Y9156D1*
+X32210D1*
+X32133Y9119D1*
+X32091Y9112D1*
+X31412Y10817D2*
+X31721D1*
+Y11270D1*
+X31621Y11258D1*
+X31498Y11275D1*
+X31386Y11329D1*
+X31295Y11414D1*
+X31235Y11523D1*
+X31211Y11646D1*
+X31226Y11769D1*
+X31277Y11882D1*
+X31317Y11926D1*
+Y12019D1*
+X31265Y12072D1*
+X31133Y11994D1*
+X31014Y11973D1*
+X30876Y11832D1*
+X30768Y11764D1*
+X30667Y11746D1*
+X30484D1*
+X30826Y11405D1*
+X30916Y11390D1*
+X31030Y11341D1*
+X31124Y11258D1*
+X31188Y11152D1*
+X31218Y11000D1*
+X31198Y10877D1*
+X31142Y10766D1*
+X31055Y10677D1*
+X30945Y10619D1*
+X30822Y10598D1*
+X30699Y10615D1*
+X30590Y10667D1*
+X30592Y10625D1*
+X30573Y10502D1*
+X30517Y10391D1*
+X30430Y10302D1*
+X30320Y10244D1*
+X30262Y10234D1*
+X30377Y10219D1*
+X31287D1*
+Y10817D1*
+X31412D1*
+X21444Y9407D2*
+Y8847D1*
+X20830D1*
+X20935Y8758D1*
+X20999Y8652D1*
+X21029Y8500D1*
+X21010Y8377D1*
+X20954Y8266D1*
+X20918Y8230D1*
+X20923Y7432D1*
+X21130Y7225D1*
+X21895Y7222D1*
+X21892Y8274D1*
+X21893Y8704D1*
+X21902Y8796D1*
+X21847D1*
+Y9410D1*
+X21440D1*
+X9088Y16245D2*
+X9330D1*
+X9326Y15006D1*
+X9330Y15057D1*
+Y13944D1*
+X9094Y13938D1*
+Y13840D1*
+X9192Y13837D1*
+X9445Y13841D1*
+X9704D1*
+Y13735D1*
+X10000Y13733D1*
+X10124Y13704D1*
+X10208Y13646D1*
+X10708Y13146D1*
+X10706Y14582D1*
+X9867Y14583D1*
+Y16294D1*
+X9957D1*
+X9956Y16501D1*
+X9984Y16625D1*
+X10042Y16709D1*
+X12167Y18834D1*
+X12275Y18902D1*
+X12375Y18920D1*
+X20129D1*
+X20233Y19000D1*
+X20333Y19026D1*
+X20341Y19057D1*
+X21369D1*
+X21365Y19921D1*
+Y20081D1*
+X22013Y20077D1*
+X22259Y20081D1*
+X22407Y20077D1*
+X22652Y20081D1*
+X22791D1*
+X22801Y20172D1*
+X22853Y20285D1*
+X22937Y20378D1*
+X23045Y20440D1*
+X23166Y20466D1*
+X23290Y20454D1*
+X23404Y20404D1*
+X23498Y20322D1*
+X23562Y20215D1*
+X23591Y20082D1*
+X24178Y20077D1*
+X24424Y20081D1*
+X24572Y20077D1*
+X24818Y20081D1*
+X24966Y20077D1*
+X25211Y20081D1*
+X25359Y20077D1*
+X25605Y20081D1*
+X25753Y20077D1*
+X25999Y20081D1*
+X26147Y20077D1*
+X26392Y20081D1*
+X26523D1*
+X26457Y20188D1*
+X26417Y20306D1*
+X26402Y20430D1*
+X26414Y20554D1*
+X26450Y20674D1*
+X26511Y20783D1*
+X26539Y20815D1*
+X15752Y20814D1*
+X10553D1*
+X4312Y14574D1*
Y12063D1*
-X5749D1*
+X5750D1*
X5855Y12002D1*
-X5874Y11938D1*
+X5875Y11938D1*
Y10500D1*
-X5813Y10395D1*
-X5749Y10375D1*
+X5814Y10395D1*
+X5750Y10375D1*
X4312D1*
Y8625D1*
X8562D1*
-X8667Y8564D1*
+X8668Y8564D1*
X8687Y8500D1*
-Y7250D1*
+X8688Y7250D1*
X8840Y7249D1*
-X8842Y8024D1*
-Y9028D1*
-X8311Y9031D1*
-X6874D1*
-X6752Y9054D1*
-X6631Y9132D1*
-X6194Y9570D1*
-X6123Y9672D1*
-X6093Y9813D1*
-Y16251D1*
-X6117Y16376D1*
-X27910Y7293D2*
-X27924Y7420D1*
-X27976Y7534D1*
-X28060Y7626D1*
-X28168Y7688D1*
-X28289Y7714D1*
-X28413Y7702D1*
-X28527Y7652D1*
-X28621Y7570D1*
-X28685Y7464D1*
-X28715Y7312D1*
-X28698Y7208D1*
-X28785Y7297D1*
-X28799Y7420D1*
-X28851Y7534D1*
-X28935Y7626D1*
-X29043Y7688D1*
-X29164Y7714D1*
-X29288Y7702D1*
-X29402Y7652D1*
-X29496Y7570D1*
-X29560Y7464D1*
-X29590Y7312D1*
-X29573Y7208D1*
-X29996Y7206D1*
-X30038Y7284D1*
-X30122Y7376D1*
-X30230Y7438D1*
-X30352Y7464D1*
-X30456Y7454D1*
-X30453Y8633D1*
-X30229Y8857D1*
-X30161Y8965D1*
-X30143Y9065D1*
-Y10312D1*
-X30171Y10436D1*
-X30229Y10520D1*
-X30331Y10622D1*
-X30197Y10598D1*
-X30074Y10615D1*
-X29961Y10668D1*
-X29930Y10698D1*
-X29201Y9972D1*
-X27016Y7787D1*
-X27027Y7687D1*
-X27008Y7564D1*
-X26952Y7453D1*
-X26865Y7364D1*
-X26755Y7306D1*
-X26632Y7284D1*
-X26509Y7301D1*
-X26396Y7355D1*
-X26300Y7451D1*
-X26174Y7397D1*
-X26027Y7393D1*
-X25880Y7306D1*
-X25757Y7284D1*
-X25634Y7301D1*
-X25521Y7355D1*
-X25479Y7395D1*
-X25480Y7213D1*
-X27894Y7210D1*
-X20338Y14674D2*
-X20257Y14659D1*
-X20134Y14676D1*
-X20109Y14688D1*
-X20106Y14589D1*
-X20195Y14592D1*
-Y13924D1*
-X20352Y13964D1*
-X20476Y13952D1*
-X20590Y13902D1*
-X20684Y13820D1*
-X20748Y13714D1*
-X20777Y13562D1*
-X20758Y13439D1*
-X20702Y13328D1*
-X20678Y13303D1*
-X20811Y13256D1*
-X20913Y13278D1*
-X20872Y13363D1*
-X20848Y13485D1*
-X20863Y13609D1*
-X20907Y13705D1*
-Y14106D1*
-X20342Y14671D1*
-X17010Y14973D2*
-X17121Y14883D1*
-X17185Y14776D1*
-X17215Y14625D1*
-X17209Y14590D1*
-X17268Y14687D1*
-X17265Y16380D1*
-X17106Y16539D1*
-Y15187D1*
-X17078Y15063D1*
-X17020Y14979D1*
-X17012Y14971D1*
-X18384Y15565D2*
-X18328Y15454D1*
-X18241Y15365D1*
-X18131Y15307D1*
-X18008Y15286D1*
-X17885Y15303D1*
-X17853Y15318D1*
-X17856Y14769D1*
-X17979Y14895D1*
-X18087Y14963D1*
-X18187Y14981D1*
-X18630Y14984D1*
-X19538Y15892D1*
-X18500Y15893D1*
-X18375Y15921D1*
-X18291Y15979D1*
-X18106Y16164D1*
-X18216Y16029D1*
-X18310Y15946D1*
-X18374Y15840D1*
-X18403Y15688D1*
-X18384Y15565D1*
-X16683Y12352D2*
-X16640Y12265D1*
-X16610Y12235D1*
-X17625Y12232D1*
-X17684D1*
-X17563Y12268D1*
-X17438D1*
-X17311Y12297D1*
-X17230Y12355D1*
-X17105Y12480D1*
-X17008Y12626D1*
-X16952Y12515D1*
-X16865Y12426D1*
-X16755Y12368D1*
-X16690Y12357D1*
-X23873Y14377D2*
-X23816Y14478D1*
-X23770Y14416D1*
-X23204Y13850D1*
-X23310Y13759D1*
-X23374Y13652D1*
-X23404Y13501D1*
-X23385Y13378D1*
-X23329Y13266D1*
-X23293Y13230D1*
-X23296Y13106D1*
-X24794Y13107D1*
-X24176Y13722D1*
-X24073Y13740D1*
-X23961Y13794D1*
-X23870Y13879D1*
-X23810Y13988D1*
-X23786Y14110D1*
-X23801Y14234D1*
-X23853Y14347D1*
-X23876Y14373D1*
-X17120Y19335D2*
-X17068Y19308D1*
-X16946Y19286D1*
-X16822Y19303D1*
-X16756Y19335D1*
-X16692Y19306D1*
-X16570Y19284D1*
-X16446Y19301D1*
-X16359Y19343D1*
-X16356Y19187D1*
-X16328Y19063D1*
-X16270Y18979D1*
-X15582Y18291D1*
-X16195Y18289D1*
-X16304Y18292D1*
-X16695Y18289D1*
-X16804Y18292D1*
-X17195Y18289D1*
-X17304Y18292D1*
-X17695Y18289D1*
-X17804Y18292D1*
-X18195Y18289D1*
-X18304Y18292D1*
-X18695Y18289D1*
-X18804Y18292D1*
-X19195Y18289D1*
-X19304Y18292D1*
-X19695D1*
-Y16734D1*
-X19817D1*
-X20196Y17112D1*
-X20118Y17190D1*
-X20058Y17300D1*
-X20035Y17422D1*
-X20049Y17545D1*
-X20101Y17659D1*
-X20124Y17685D1*
-X20060Y17801D1*
-X20036Y17923D1*
-X20050Y18047D1*
-X20102Y18160D1*
-X20186Y18252D1*
-X20294Y18314D1*
-X20366Y18330D1*
-X20348Y18423D1*
-X20363Y18547D1*
-X20415Y18660D1*
-X20438Y18686D1*
-X20372Y18801D1*
-X20348Y18923D1*
-X20363Y19047D1*
-X20417Y19163D1*
-X20260Y19178D1*
-X20193Y19210D1*
-X20131Y19183D1*
-X20008Y19161D1*
-X19885Y19178D1*
-X19773Y19232D1*
-X19708Y19293D1*
-X19633Y19286D1*
-X19510Y19303D1*
-X19384Y19370D1*
-X19258Y19349D1*
-X19135Y19365D1*
-X19023Y19419D1*
-X18932Y19505D1*
-X18872Y19614D1*
-X18848Y19736D1*
-X18863Y19860D1*
-X18877Y19891D1*
-X17931Y19894D1*
-X17715Y19674D1*
-X17696Y19566D1*
-X17641Y19454D1*
-X17553Y19366D1*
-X17443Y19308D1*
-X17321Y19286D1*
-X17197Y19303D1*
-X17131Y19335D1*
-X23184Y12000D2*
-X23121Y12112D1*
-X23097Y12234D1*
-X23112Y12358D1*
-X23149Y12439D1*
-X23009Y12410D1*
-X22885Y12427D1*
-X22854Y12442D1*
-X22855Y11873D1*
-X22826Y11749D1*
-X22769Y11665D1*
-X21480Y10374D1*
-Y7221D1*
-X21894Y7222D1*
-X21892Y7310D1*
-X21920Y7434D1*
-X21978Y7518D1*
-X23273Y8814D1*
-X23134Y8785D1*
-X23010Y8802D1*
-X22898Y8856D1*
-X22808Y8941D1*
-X22748Y9050D1*
-X22724Y9172D1*
-X22738Y9296D1*
-X22790Y9409D1*
-X22874Y9501D1*
-X22982Y9563D1*
-X23039Y9576D1*
-X23051Y9671D1*
-X23102Y9784D1*
-X23186Y9876D1*
-X23294Y9939D1*
-X23351Y9951D1*
-X23363Y10046D1*
-X23415Y10159D1*
-X23499Y10251D1*
-X23607Y10314D1*
-X23728Y10340D1*
-X23852Y10327D1*
-X23967Y10278D1*
-X24016Y10234D1*
-X24026Y10306D1*
-X23488Y10845D1*
-X23384Y10864D1*
-X23271Y10918D1*
-X23181Y11003D1*
-X23121Y11112D1*
-X23097Y11234D1*
-X23112Y11358D1*
-X23163Y11471D1*
-X23187Y11497D1*
-X23121Y11612D1*
-X23097Y11734D1*
-X23112Y11858D1*
-X23163Y11971D1*
-X23187Y11997D1*
-X23528Y7351D2*
-X23442Y7306D1*
-X23320Y7284D1*
-X23196Y7301D1*
-X23084Y7355D1*
-X22993Y7441D1*
-X22933Y7550D1*
-X22918Y7630D1*
-X22511Y7218D1*
-X23687Y7219D1*
-X24894Y7216D1*
-X24892Y7395D1*
-X24250Y7393D1*
-X24027D1*
-X23880Y7306D1*
-X23757Y7284D1*
-X23634Y7301D1*
-X23532Y7350D1*
-X28428Y18576D2*
-X28410Y18672D1*
-X28424Y18795D1*
-X28476Y18909D1*
-X28577Y19011D1*
-X28359Y19224D1*
-X28356Y18582D1*
-X28389Y18575D1*
-X30767Y16932D2*
-X30726Y16837D1*
-Y16669D1*
-X31505Y16670D1*
-X31501Y16867D1*
-Y17260D1*
-Y17416D1*
-X31087Y17420D1*
-X30694D1*
-X30553D1*
-X30598Y17396D1*
-X30692Y17314D1*
-X30756Y17207D1*
-X30786Y17055D1*
-X30767Y16932D1*
-X27011Y17421D2*
-X26601Y17420D1*
-X26598Y17006D1*
-Y16613D1*
-Y16469D1*
-X26834Y16473D1*
-X26837Y17087D1*
-X26860Y17210D1*
-X26924Y17316D1*
-X27026Y17393D1*
-X27030Y17420D1*
-X26757Y17424D1*
-X26595Y17420D1*
-X26598Y16809D1*
-Y16471D1*
-Y16545D1*
-X26363Y17658D2*
-Y18345D1*
-X25310Y19394D1*
-X23205D1*
-X23954Y18649D1*
-X25000Y17606D1*
-X25441D1*
-X25438Y17654D1*
-X26364D1*
-X27095Y17420D2*
-X26708D1*
-X26598Y17260D1*
-Y16617D1*
-X31735Y18443D2*
-Y17654D1*
-X32661D1*
-Y17627D1*
-X33343Y17625D1*
-Y20050D1*
-X31734Y18442D1*
-X31501Y16671D2*
-Y17423D1*
-X31020Y17420D1*
-X30645D1*
-X31501Y16670D2*
-X31505Y17064D1*
-X31501Y17006D1*
-X31503Y17420D1*
-X30891Y17424D1*
-X30948Y17420D1*
-X30555D1*
-X31502Y15431D2*
-X31501Y16026D1*
-X31081Y16022D1*
-X30499D1*
-X30383Y16002D1*
-X29801D1*
-X29797Y15596D1*
-Y15092D1*
-X30453Y15095D1*
-X31505D1*
-X31501Y15292D1*
-Y15686D1*
-Y15883D1*
-X31505D1*
-X31501Y13915D2*
-Y14448D1*
-X29796Y14447D1*
-X29797Y14119D1*
-X30581Y14117D1*
-X30703Y14093D1*
-X30810Y14022D1*
-X30918Y13914D1*
-X31505D1*
-X31501Y14111D1*
-Y14308D1*
-Y14428D1*
-X32274Y12282D2*
-X31735D1*
-Y11357D1*
-X31630D1*
-X31644Y11274D1*
-X31735Y11337D1*
-X32270Y11875D1*
-Y12285D1*
-X26390Y11357D2*
-X26363D1*
-Y12282D1*
-X25758D1*
-X25727Y12256D1*
-X25612Y12209D1*
-X25187Y12205D1*
-X24457D1*
-X24583Y12083D1*
-X24651Y11975D1*
-X24669Y11875D1*
-X24671Y11244D1*
-X24875Y11044D1*
-X26394D1*
-X26393Y11355D1*
-X26597Y12930D2*
-X26598Y12676D1*
-X26595Y12516D1*
-X27208Y12512D1*
-X27150Y12516D1*
-X27741Y12515D1*
-X28389Y12516D1*
-X28720D1*
-X28687Y12540D1*
-X27656D1*
-X27534Y12562D1*
-X27413Y12640D1*
-X26985Y13068D1*
-X26594Y13069D1*
-X26598Y12513D1*
-X27011Y12516D1*
-X27405D1*
-X27798D1*
-X28192D1*
-X28586D1*
-X28717D1*
-X28653D1*
-X26598Y14644D2*
-X26594Y14250D1*
-X26598Y14308D1*
-Y13716D1*
-X26834Y13719D1*
-Y14646D1*
-X26594Y14644D1*
-X26598Y14447D1*
-Y14053D1*
-Y13857D1*
-Y13736D1*
-Y15825D2*
-Y15288D1*
-X27061Y15292D1*
-X27138Y15309D1*
-X27262Y15301D1*
-X27375Y15249D1*
-X27463Y15161D1*
-X27513Y15047D1*
-X27522Y14843D1*
-Y13503D1*
-X27800Y13226D1*
-X28722Y13227D1*
-X28718Y13633D1*
-Y13687D1*
-X28741Y13809D1*
-X28805Y13916D1*
-X28903Y13992D1*
-X29026Y14028D1*
-X29113Y14031D1*
-X29109Y14418D1*
-Y16346D1*
-X29132Y16468D1*
-X29196Y16574D1*
-X29295Y16651D1*
-X29417Y16687D1*
-X30035Y16690D1*
-X30039Y16740D1*
-X29508Y16744D1*
-X27524D1*
-X27525Y16149D1*
-X27502Y16027D1*
-X27438Y15921D1*
-X27340Y15844D1*
-X27221Y15808D1*
-X27079Y15824D1*
-X26594Y15825D1*
-X26598Y15293D1*
-X26594Y15431D1*
-X20892Y7223D2*
-Y9973D1*
-X20480Y9561D1*
-Y7229D1*
-X20894Y7224D1*
-X19892Y7223D2*
-Y9161D1*
-X19480Y8749D1*
-Y7229D1*
-X19894Y7226D1*
-X18892Y7231D2*
-Y8348D1*
-X18480Y7936D1*
-Y7229D1*
-X18894Y7228D1*
-X22097Y16205D2*
-X21244Y16203D1*
-X20684Y15642D1*
-X20772Y15584D1*
-X21266Y15089D1*
-X21268Y15251D1*
-X21296Y15375D1*
-X21354Y15459D1*
-X22102Y16208D1*
-X23687Y8231D2*
-X25067Y8234D1*
-X25460Y8619D1*
-X25500Y8643D1*
-X23933Y8641D1*
-X23522Y8229D1*
-X23687Y8231D1*
+X8843Y8024D1*
+Y8653D1*
+X8311Y8656D1*
+X7000D1*
+X6878Y8679D1*
+X6757Y8757D1*
+X6194Y9320D1*
+X6124Y9422D1*
+X6094Y9563D1*
+Y14813D1*
+X6116Y14935D1*
+X6194Y15056D1*
+X6357Y15219D1*
+X6414Y15347D1*
+X6497Y15440D1*
+X6605Y15502D1*
+X6716Y15525D1*
+X6719Y16188D1*
+Y16626D1*
+X6741Y16748D1*
+X6819Y16869D1*
+X7921Y17971D1*
+X7976Y18098D1*
+X8060Y18190D1*
+X8168Y18252D1*
+X8290Y18278D1*
+X8414Y18266D1*
+X8528Y18216D1*
+X8621Y18134D1*
+X8686Y18027D1*
+X8715Y17876D1*
+X8696Y17753D1*
+X8640Y17642D1*
+X8553Y17553D1*
+X8443Y17495D1*
+X8408Y17489D1*
+X7569Y16647D1*
+X7648Y16657D1*
+X8480D1*
+X8543Y16689D1*
+X8665Y16715D1*
+X8789Y16703D1*
+X8903Y16654D1*
+X8997Y16572D1*
+X9061Y16465D1*
+X9090Y16313D1*
+X9080Y16248D1*
+X30501Y20111D2*
+X30405Y19995D1*
+X30304Y19921D1*
+X30190Y19870D1*
+X30068Y19843D1*
+X29944Y19842D1*
+X29841Y19863D1*
+X29710Y19730D1*
+X29602Y19663D1*
+X29502Y19644D1*
+X28904D1*
+X28903Y19054D1*
+X29766Y19057D1*
+X29916D1*
+X29927Y19110D1*
+X29979Y19223D1*
+X30062Y19315D1*
+X30170Y19377D1*
+X30292Y19403D1*
+X30416Y19391D1*
+X30505Y19352D1*
+X30502Y20107D1*
+X11571Y7814D2*
+X11515Y7703D1*
+X11480Y7667D1*
+X11482Y7246D1*
+X12324Y7242D1*
+X12792Y7708D1*
+X12900Y7776D1*
+X13000Y7794D1*
+X13348D1*
+X13363Y7858D1*
+X13414Y7972D1*
+X13498Y8064D1*
+X13606Y8126D1*
+X13728Y8152D1*
+X13852Y8140D1*
+X13962Y8092D1*
+X13998Y8126D1*
+X14106Y8188D1*
+X14228Y8214D1*
+X14352Y8202D1*
+X14466Y8153D1*
+X14560Y8071D1*
+X14574Y8047D1*
+X14597Y8075D1*
+X14613Y8171D1*
+X14665Y8284D1*
+X14709Y8333D1*
+X13126Y8331D1*
+X11289Y8327D1*
+X11403Y8278D1*
+X11497Y8196D1*
+X11561Y8089D1*
+X11590Y7937D1*
+X11571Y7814D1*
+X10895Y7667D2*
+X10869Y7691D1*
+X10809Y7800D1*
+X10785Y7922D1*
+X10800Y8046D1*
+X10821Y8092D1*
+X10481Y7749D1*
+Y7245D1*
+X10895D1*
+X10893Y7661D1*
+X14967Y14165D2*
+X14881Y14120D1*
+X14754Y14099D1*
+X14834Y14021D1*
+X14902Y13913D1*
+X14920Y13813D1*
+Y13590D1*
+X14999Y13465D1*
+X15028Y13313D1*
+X15009Y13190D1*
+X14953Y13079D1*
+X14866Y12990D1*
+X14773Y12941D1*
+X14807Y12911D1*
+X15042Y13146D1*
+X15151Y13214D1*
+X15251Y13232D1*
+X15856D1*
+X15042Y14043D1*
+X14974Y14151D1*
+X15005Y14090D1*
+X14870Y13978D1*
+X14916Y13863D1*
+X20543Y10731D2*
+X20561Y10752D1*
+X20669Y10814D1*
+X20791Y10840D1*
+X20915Y10827D1*
+X21029Y10778D1*
+X21123Y10696D1*
+X21187Y10589D1*
+X21216Y10438D1*
+X21229Y10362D1*
+X21233Y10231D1*
+X21247Y10261D1*
+X21232Y10305D1*
+X21273Y10307D1*
+X21394Y10438D1*
+Y10496D1*
+X21365D1*
+Y11523D1*
+X20501Y11520D1*
+X20341D1*
+Y11744D1*
+X20253Y11746D1*
+X20112Y11678D1*
+X20459Y11333D1*
+X20527Y11225D1*
+X20546Y11125D1*
+Y10739D1*
+X16465Y7828D2*
+X16591Y7778D1*
+X16685Y7696D1*
+X16749Y7589D1*
+X16775Y7479D1*
+X17131Y7484D1*
+X17598Y7951D1*
+X17613Y8046D1*
+X17665Y8159D1*
+X17749Y8251D1*
+X17857Y8313D1*
+X17906Y8324D1*
+X17957Y8375D1*
+Y8543D1*
+X17834Y8417D1*
+X17726Y8349D1*
+X17626Y8331D1*
+X16039Y8327D1*
+X16154Y8278D1*
+X16247Y8196D1*
+X16311Y8089D1*
+X16340Y7952D1*
+X16459Y7834D1*
+X28905Y11337D2*
+X29041Y11328D1*
+X29155Y11278D1*
+X29249Y11196D1*
+X29279Y11146D1*
+X29375Y11252D1*
+X29483Y11314D1*
+X29604Y11340D1*
+X29728Y11328D1*
+X29843Y11278D1*
+X29936Y11196D1*
+X30000Y11089D1*
+X30023Y10995D1*
+X30167Y11027D1*
+X30291Y11015D1*
+X30414Y10958D1*
+X30413Y10989D1*
+X29877Y11518D1*
+X28899Y11520D1*
+X28903Y11339D1*
+X19858Y9685D2*
+X19849Y9735D1*
+X19863Y9859D1*
+X19915Y9972D1*
+X19954Y10015D1*
+X19957Y11004D1*
+X19825Y11135D1*
+X19804Y11115D1*
+X19694Y11057D1*
+X19571Y11035D1*
+X19448Y11052D1*
+X19423Y11064D1*
+X19421Y10121D1*
+X19851Y9692D1*
+X16418Y13982D2*
+X16436Y14002D1*
+X16544Y14064D1*
+X16666Y14090D1*
+X16789Y14078D1*
+X16893Y14033D1*
+X17317Y14031D1*
+X17299Y14099D1*
+X17018Y14380D1*
+X16950Y14488D1*
+X16932Y14588D1*
+X16930Y15906D1*
+X16813Y16019D1*
+X16630D1*
+X16633Y15169D1*
+Y14583D1*
+X16418D1*
+X16420Y13989D1*
+X23573Y7252D2*
+X23563Y7219D1*
+X24684Y7217D1*
+X23484Y8414D1*
+X23483Y7653D1*
+X23562Y7526D1*
+X23592Y7375D1*
+X23573Y7252D1*
+X29925Y15120D2*
+Y14727D1*
+Y14333D1*
+Y13939D1*
+X29926Y13492D1*
+X30050Y13465D1*
+X30104Y13597D1*
+X30187Y13689D1*
+X30295Y13751D1*
+X30398Y13773D1*
+X30395Y14813D1*
+Y16629D1*
+X30374Y16676D1*
+X30350Y16798D1*
+X30357Y16856D1*
+X29951Y17258D1*
+X29924D1*
+X29922Y17228D1*
+X29926Y16983D1*
+X29922Y16835D1*
+X29926Y16638D1*
+Y16244D1*
+Y15850D1*
+Y15457D1*
+Y15260D1*
+Y14866D1*
+Y14472D1*
+Y14079D1*
+Y13685D1*
+Y13291D1*
+X29958Y13264D1*
+X29987Y13377D1*
+X30047Y13461D1*
+X29986Y13375D1*
+X29926Y13367D1*
+X29922Y13094D1*
+X29926Y13025D1*
+X29958Y13063D1*
+Y13250D1*
+X29984Y13372D1*
+X31153Y16802D2*
+X31136Y16690D1*
+X31080Y16579D1*
+X30982Y16485D1*
+X30984Y13184D1*
+X31724Y12445D1*
+X31721Y13625D1*
+Y16231D1*
+X31156Y16798D1*
+X12707Y10406D2*
+X14049Y10410D1*
+X14094Y10517D1*
+X12688Y10518D1*
+X12652Y10521D1*
+X12686Y10464D1*
+X12699Y10407D1*
+X12688Y10518D1*
+X12661D1*
+X14833Y10406D2*
+X15674Y10410D1*
+X15719Y10517D1*
+X14777Y10521D1*
+X14811Y10464D1*
+X14825Y10407D1*
+X14813Y10518D1*
+X14786D1*
+X25595Y18440D2*
+X28541D1*
+X25599Y18191D2*
+X28541D1*
+X25372Y17943D2*
+X26842D1*
+X27161D2*
+X28373D1*
+X25224Y17694D2*
+X26595D1*
+X21714Y17445D2*
+X26592D1*
+X21833Y17196D2*
+X26810D1*
+X21985Y16948D2*
+X27107D1*
+X21950Y16699D2*
+X27013D1*
+X21714Y16450D2*
+X27092D1*
+X21714Y16202D2*
+X27076D1*
+X21714Y15953D2*
+X27150D1*
+X21966Y15704D2*
+X27138D1*
+X21978Y15456D2*
+X27213D1*
+X21774Y15207D2*
+X27138D1*
+X21714Y14958D2*
+X27209D1*
+X21714Y14710D2*
+X27377D1*
+X21714Y14461D2*
+X27209D1*
+X21714Y14212D2*
+X27232D1*
+X21714Y13964D2*
+X27209D1*
+X21714Y13715D2*
+X27232D1*
+X21714Y13466D2*
+X27588D1*
+X22169Y13217D2*
+X27342D1*
+X22419Y12969D2*
+X27092D1*
+X22669Y12720D2*
+X25377D1*
+X27724D2*
+X28541D1*
+X23188Y12471D2*
+X25263D1*
+X27478D2*
+X28541D1*
+X23302Y12223D2*
+X25326D1*
+X27228D2*
+X28541D1*
+X23243Y11974D2*
+X24330D1*
+X24923D2*
+X26006D1*
+X26716D2*
+X28541D1*
+X24152Y17714D2*
+X24117Y17678D1*
+X24007Y17620D1*
+X23884Y17598D1*
+X23761Y17615D1*
+X23649Y17669D1*
+X23629Y17688D1*
+X23507Y17620D1*
+X23384Y17598D1*
+X23261Y17615D1*
+X23149Y17669D1*
+X23129Y17688D1*
+X23007Y17620D1*
+X22884Y17598D1*
+X22761Y17615D1*
+X22648Y17669D1*
+X22629Y17688D1*
+X22507Y17620D1*
+X22384Y17598D1*
+X22261Y17615D1*
+X22148Y17669D1*
+X22129Y17688D1*
+X22007Y17620D1*
+X21884Y17598D1*
+X21761Y17615D1*
+X21687Y17651D1*
+X21689Y17255D1*
+X21779Y17216D1*
+X21873Y17134D1*
+X21937Y17027D1*
+X21967Y16876D1*
+X21947Y16753D1*
+X21891Y16642D1*
+X21804Y16553D1*
+X21690Y16494D1*
+X21689Y15942D1*
+X21779Y15904D1*
+X21873Y15821D1*
+X21937Y15715D1*
+X21967Y15563D1*
+X21947Y15440D1*
+X21891Y15329D1*
+X21804Y15240D1*
+X21690Y15181D1*
+Y13368D1*
+X21852D1*
+X21974Y13346D1*
+X22095Y13268D1*
+X22766Y12597D1*
+X22978Y12578D1*
+X23092Y12528D1*
+X23186Y12446D1*
+X23250Y12339D1*
+X23279Y12188D1*
+X23260Y12065D1*
+X23204Y11954D1*
+X23125Y11873D1*
+X24279Y11875D1*
+X24374Y11989D1*
+X24482Y12051D1*
+X24604Y12078D1*
+X24728Y12065D1*
+X24842Y12016D1*
+X24898Y11967D1*
+X25035Y11916D1*
+X25081Y11875D1*
+X25958D1*
+X25978Y11910D1*
+X26062Y12002D1*
+X26170Y12064D1*
+X26292Y12090D1*
+X26415Y12078D1*
+X26526Y12030D1*
+X26624Y12009D1*
+X26730Y11944D1*
+X26785Y11874D1*
+X28565Y11875D1*
+Y12809D1*
+X28496Y12879D1*
+X28457Y12951D1*
+X28430Y12927D1*
+X28320Y12869D1*
+X28197Y12848D1*
+X28074Y12865D1*
+X27962Y12919D1*
+X27930Y12948D1*
+X27210Y12230D1*
+X27102Y12162D1*
+X27002Y12143D1*
+X25967D1*
+X25820Y12057D1*
+X25697Y12035D1*
+X25574Y12052D1*
+X25461Y12106D1*
+X25371Y12191D1*
+X25311Y12301D1*
+X25287Y12423D1*
+X25301Y12546D1*
+X25353Y12660D1*
+X25437Y12752D1*
+X25545Y12814D1*
+X25667Y12840D1*
+X25790Y12828D1*
+X25905Y12778D1*
+X25954Y12735D1*
+X26882D1*
+X27620Y13472D1*
+X27511Y13490D1*
+X27399Y13544D1*
+X27308Y13629D1*
+X27248Y13738D1*
+X27225Y13860D1*
+X27239Y13984D1*
+X27291Y14097D1*
+X27314Y14123D1*
+X27248Y14238D1*
+X27225Y14360D1*
+X27239Y14484D1*
+X27291Y14597D1*
+X27375Y14689D1*
+X27483Y14752D1*
+X27604Y14778D1*
+X27572Y14786D1*
+X27449Y14802D1*
+X27336Y14856D1*
+X27246Y14942D1*
+X27186Y15051D1*
+X27162Y15173D1*
+X27177Y15297D1*
+X27228Y15410D1*
+X27252Y15436D1*
+X27186Y15551D1*
+X27162Y15673D1*
+X27177Y15797D1*
+X27223Y15899D1*
+X27183Y15942D1*
+X27123Y16051D1*
+X27100Y16173D1*
+X27114Y16297D1*
+X27161Y16399D1*
+X27121Y16442D1*
+X27061Y16551D1*
+X27037Y16673D1*
+X27052Y16797D1*
+X27103Y16910D1*
+X27143Y16953D1*
+X27086Y16981D1*
+X26996Y17067D1*
+X26942Y17166D1*
+X26886Y17178D1*
+X26774Y17232D1*
+X26683Y17317D1*
+X26623Y17426D1*
+X26600Y17548D1*
+X26614Y17672D1*
+X26666Y17785D1*
+X26750Y17877D1*
+X26857Y17939D1*
+X26979Y17966D1*
+X27103Y17953D1*
+X27178Y17921D1*
+X28387Y17920D1*
+X28416Y17973D1*
+X28500Y18065D1*
+X28564Y18102D1*
+X28565Y18689D1*
+X25459D1*
+X25498Y18572D1*
+X25562Y18465D1*
+X25592Y18314D1*
+X25573Y18191D1*
+X25517Y18079D1*
+X25430Y17990D1*
+X25337Y17941D1*
+X25323Y17878D1*
+X25267Y17767D1*
+X25180Y17678D1*
+X25069Y17620D1*
+X24947Y17598D1*
+X24823Y17615D1*
+X24711Y17669D1*
+X24691Y17688D1*
+X24569Y17620D1*
+X24447Y17598D1*
+X24323Y17615D1*
+X24211Y17669D1*
+X24163Y17714D1*
D19*
-X42687Y17812D3*
+X41378Y13063D3*
D20*
-X34616D3*
-D22*
-X8312Y10937D3*
+X33308D3*
+D21*
+X39323Y12543D3*
+X35393D3*
D23*
-X7312D3*
+X8062Y9875D3*
D24*
-X10343Y11767D3*
-Y11255D3*
-X12000Y10750D3*
-Y11262D3*
-Y9750D3*
-Y9238D3*
-X34565Y8887D3*
-Y8375D3*
-Y10426D3*
-Y10938D3*
-D21*
-X18126Y12188D3*
-X17614D3*
-X32627Y10625D3*
-X32115D3*
+X7062D3*
+D22*
+X8750Y12188D3*
+X9262D3*
D25*
-X9250Y12937D3*
-X8147D3*
-X9250Y14000D3*
-X8147D3*
+X17438Y10313D3*
+Y10824D3*
+Y9500D3*
+Y8988D3*
+X18751Y12688D3*
+Y13200D3*
+X31690Y9437D3*
+Y8926D3*
+Y10375D3*
+Y10887D3*
+D22*
+X21001Y9250D3*
+X21513D3*
+X31502Y8000D3*
+X32014D3*
D26*
+X8750Y15626D3*
+X7648D3*
+X8750Y14563D3*
+X7648D3*
+D27*
X9186Y5399D3*
X10186D3*
X11186D3*
@@ -3380,151 +2894,213 @@ X35186D3*
X36186D3*
X37186D3*
X38186D3*
-D27*
-X29125Y21000D3*
+G36*
+X25667Y20773D2*
+X26336D1*
+Y20104D1*
+X25667D1*
+Y20773D1*
+G37*
+D20*
+X27002Y20439D3*
+X28002D3*
+X29002D3*
+X30002D3*
+D22*
+X8750Y13438D3*
+X9262D3*
+X8750Y12938D3*
+X9262D3*
+X21001Y9813D3*
+X21513D3*
+D25*
+X22249Y9750D3*
+Y9238D3*
+X22875Y9750D3*
+Y9238D3*
+X23502Y9750D3*
+Y9238D3*
+X24127Y9750D3*
+Y9238D3*
+X24752Y9750D3*
+Y9238D3*
+X25377Y9750D3*
+Y9238D3*
D28*
-X30125D3*
-X31125D3*
-X32125D3*
-X33125D3*
-D21*
-X13125Y11812D3*
-X13636D3*
-X13125Y12312D3*
-X13636D3*
-X19688Y12188D3*
-X19176D3*
-D30*
-X26687Y18000D3*
-X26884D3*
-X27081D3*
-X27278D3*
-X27474D3*
-X27671D3*
-X27868D3*
-X28065D3*
-X28262D3*
-X28459D3*
-X28656D3*
-X28852D3*
-X29049D3*
-X29246D3*
-X29443D3*
-X29640D3*
-X29837D3*
-X30033D3*
-X30230D3*
-X30427D3*
-X30624D3*
-X30821D3*
-X31018D3*
-X31215D3*
-X31411D3*
-D31*
-X32081Y17330D3*
-Y17133D3*
-Y16937D3*
-Y16740D3*
-Y16543D3*
-Y16346D3*
-Y16149D3*
-Y15952D3*
-Y15755D3*
-Y15559D3*
-Y15362D3*
-Y15165D3*
-Y14968D3*
-Y14771D3*
-Y14574D3*
-Y14377D3*
-Y14181D3*
-Y13984D3*
-Y13787D3*
-Y13590D3*
-Y13393D3*
-Y13196D3*
-Y13000D3*
-Y12803D3*
-Y12606D3*
-D30*
-X31411Y11937D3*
-X31215D3*
-X31018D3*
-X30821D3*
-X30624D3*
-X30427D3*
-X30230D3*
-X30033D3*
-X29837D3*
-X29640D3*
-X29443D3*
-X29246D3*
-X29049D3*
-X28852D3*
-X28656D3*
-X28459D3*
-X28262D3*
-X28065D3*
-X27868D3*
-X27671D3*
-X27474D3*
-X27278D3*
-X27081D3*
-X26884D3*
-X26687D3*
-D31*
-X26018Y12606D3*
-Y12803D3*
-Y13000D3*
-Y13196D3*
-Y13393D3*
-Y13590D3*
-Y13787D3*
-Y13984D3*
-Y14181D3*
-Y14377D3*
-Y14574D3*
-Y14771D3*
-Y14968D3*
-Y15165D3*
-Y15362D3*
-Y15559D3*
-Y15755D3*
-Y15952D3*
-Y16149D3*
-Y16346D3*
-Y16543D3*
-Y16740D3*
-Y16937D3*
-Y17133D3*
-Y17330D3*
-D33*
-X13312Y17437D3*
-X13812D3*
-X14312D3*
-X14812D3*
-X15312D3*
-X15812D3*
-X16312D3*
-X16812D3*
-X17312D3*
-X17812D3*
-X18312D3*
-X18812D3*
-X19312D3*
-X19812D3*
-Y13736D3*
-X19312D3*
-X18812D3*
-X18312D3*
-X17812D3*
-X17312D3*
-X16812D3*
-X16312D3*
-X15812D3*
-X15312D3*
-X14812D3*
-X14312D3*
-X13812D3*
-X13312D3*
+X21689Y19501D3*
+X21886D3*
+X22083D3*
+X22279D3*
+X22476D3*
+X22673D3*
+X22870D3*
+X23067D3*
+X23264D3*
+X23461D3*
+X23657D3*
+X23854D3*
+X24051D3*
+X24248D3*
+X24445D3*
+X24642D3*
+X24838D3*
+X25035D3*
+X25232D3*
+X25429D3*
+X25626D3*
+X25823D3*
+X26020D3*
+X26216D3*
+X26413D3*
+X26610D3*
+X26807D3*
+X27004D3*
+X27201D3*
+X27398D3*
+X27594D3*
+X27791D3*
+X27988D3*
+X28185D3*
+X28382D3*
+X28579D3*
+D29*
+X29346Y18733D3*
+Y18537D3*
+Y18340D3*
+Y18143D3*
+Y17946D3*
+Y17749D3*
+Y17552D3*
+Y17355D3*
+Y17159D3*
+Y16962D3*
+Y16765D3*
+Y16568D3*
+Y16371D3*
+Y16174D3*
+Y15978D3*
+Y15781D3*
+Y15584D3*
+Y15387D3*
+Y15190D3*
+Y14993D3*
+Y14796D3*
+Y14600D3*
+Y14403D3*
+Y14206D3*
+Y14009D3*
+Y13812D3*
+Y13615D3*
+Y13418D3*
+Y13222D3*
+Y13025D3*
+Y12828D3*
+Y12631D3*
+Y12434D3*
+Y12237D3*
+Y12041D3*
+Y11844D3*
+D28*
+X28579Y11076D3*
+X28382D3*
+X28185D3*
+X27988D3*
+X27791D3*
+X27594D3*
+X27398D3*
+X27201D3*
+X27004D3*
+X26807D3*
+X26610D3*
+X26413D3*
+X26216D3*
+X26020D3*
+X25823D3*
+X25626D3*
+X25429D3*
+X25232D3*
+X25035D3*
+X24838D3*
+X24642D3*
+X24445D3*
+X24248D3*
+X24051D3*
+X23854D3*
+X23657D3*
+X23461D3*
+X23264D3*
+X23067D3*
+X22870D3*
+X22673D3*
+X22476D3*
+X22279D3*
+X22083D3*
+X21886D3*
+X21689D3*
+D29*
+X20921Y11844D3*
+Y12041D3*
+Y12237D3*
+Y12434D3*
+Y12631D3*
+Y12828D3*
+Y13025D3*
+Y13222D3*
+Y13418D3*
+Y13615D3*
+Y13812D3*
+Y14009D3*
+Y14206D3*
+Y14403D3*
+Y14600D3*
+Y14796D3*
+Y14993D3*
+Y15190D3*
+Y15387D3*
+Y15584D3*
+Y15781D3*
+Y15978D3*
+Y16174D3*
+Y16371D3*
+Y16568D3*
+Y16765D3*
+Y16962D3*
+Y17159D3*
+Y17355D3*
+Y17552D3*
+Y17749D3*
+Y17946D3*
+Y18143D3*
+Y18340D3*
+Y18537D3*
+Y18733D3*
+D34*
+X10250Y15438D3*
+X10750D3*
+X11250D3*
+X11750D3*
+X12250D3*
+X12750D3*
+X13250D3*
+X13750D3*
+X14250D3*
+X14750D3*
+X15250D3*
+X15750D3*
+X16250D3*
+X16750D3*
+Y11737D3*
+X16250D3*
+X15750D3*
+X15250D3*
+X14750D3*
+X14250D3*
+X13750D3*
+X13250D3*
+X12750D3*
+X12250D3*
+X11750D3*
+X11250D3*
+X10750D3*
+X10250D3*
M02*
diff --git a/board/BottomMask.gbr b/board/BottomMask.gbr
index e9b1ce4..207bcc7 100644
--- a/board/BottomMask.gbr
+++ b/board/BottomMask.gbr
@@ -1,19 +1,18 @@
G04 DipTrace 2.4.0.2*
%INBottomMask.gbr*%
%MOIN*%
-%ADD19R,0.0748X0.0748*%
-%ADD20C,0.0748*%
-%ADD52R,0.0315X0.126*%
-%ADD56R,0.0709X0.0197*%
-%ADD58R,0.0197X0.0709*%
-%ADD62R,0.0787X0.2638*%
-%ADD64R,0.0709X0.0787*%
-%ADD66R,0.0354X0.0433*%
-%ADD68C,0.0709*%
-%ADD70R,0.0709X0.0709*%
-%ADD72R,0.0433X0.0354*%
-%ADD74C,0.0827*%
-%ADD75R,0.0827X0.0827*%
+%ADD46R,0.0315X0.126*%
+%ADD54R,0.0709X0.0197*%
+%ADD56R,0.0197X0.0709*%
+%ADD58R,0.0787X0.2638*%
+%ADD60R,0.0709X0.0787*%
+%ADD62R,0.0354X0.0433*%
+%ADD64C,0.0709*%
+%ADD66R,0.0709X0.0709*%
+%ADD68R,0.0433X0.0354*%
+%ADD70R,0.0866X0.1654*%
+%ADD72C,0.0748*%
+%ADD74R,0.0827X0.0827*%
%FSLAX44Y44*%
G04*
G70*
@@ -22,36 +21,42 @@ G75*
G01*
%LNBotMask*%
%LPD*%
-D75*
-X42687Y17812D3*
D74*
-X34616D3*
+X41378Y13063D3*
+D72*
+X33308D3*
D70*
-X8312Y10937D3*
-D68*
-X7312D3*
+X39323Y12543D3*
+X35393D3*
D66*
-X10343Y11767D3*
-Y11255D3*
-X12000Y10750D3*
-Y11262D3*
-Y9750D3*
-Y9238D3*
-X34565Y8887D3*
-Y8375D3*
-Y10426D3*
-Y10938D3*
-D72*
-X18126Y12188D3*
-X17614D3*
-X32627Y10625D3*
-X32115D3*
+X8062Y9875D3*
D64*
-X9250Y12937D3*
-X8147D3*
-X9250Y14000D3*
-X8147D3*
+X7062D3*
+D68*
+X8750Y12188D3*
+X9262D3*
D62*
+X17438Y10313D3*
+Y10824D3*
+Y9500D3*
+Y8988D3*
+X18751Y12688D3*
+Y13200D3*
+X31690Y9437D3*
+Y8926D3*
+Y10375D3*
+Y10887D3*
+D68*
+X21001Y9250D3*
+X21513D3*
+X31502Y8000D3*
+X32014D3*
+D60*
+X8750Y15626D3*
+X7648D3*
+X8750Y14563D3*
+X7648D3*
+D58*
X9186Y5399D3*
X10186D3*
X11186D3*
@@ -82,151 +87,213 @@ X35186D3*
X36186D3*
X37186D3*
X38186D3*
-D19*
-X29125Y21000D3*
-D20*
-X30125D3*
-X31125D3*
-X32125D3*
-X33125D3*
+G36*
+X25628Y20813D2*
+X26376D1*
+Y20065D1*
+X25628D1*
+Y20813D1*
+G37*
D72*
-X13125Y11812D3*
-X13636D3*
-X13125Y12312D3*
-X13636D3*
-X19688Y12188D3*
-X19176D3*
-D58*
-X26687Y18000D3*
-X26884D3*
-X27081D3*
-X27278D3*
-X27474D3*
-X27671D3*
-X27868D3*
-X28065D3*
-X28262D3*
-X28459D3*
-X28656D3*
-X28852D3*
-X29049D3*
-X29246D3*
-X29443D3*
-X29640D3*
-X29837D3*
-X30033D3*
-X30230D3*
-X30427D3*
-X30624D3*
-X30821D3*
-X31018D3*
-X31215D3*
-X31411D3*
+X27002Y20439D3*
+X28002D3*
+X29002D3*
+X30002D3*
+D68*
+X8750Y13438D3*
+X9262D3*
+X8750Y12938D3*
+X9262D3*
+X21001Y9813D3*
+X21513D3*
+D62*
+X22249Y9750D3*
+Y9238D3*
+X22875Y9750D3*
+Y9238D3*
+X23502Y9750D3*
+Y9238D3*
+X24127Y9750D3*
+Y9238D3*
+X24752Y9750D3*
+Y9238D3*
+X25377Y9750D3*
+Y9238D3*
D56*
-X32081Y17330D3*
-Y17133D3*
-Y16937D3*
-Y16740D3*
-Y16543D3*
-Y16346D3*
-Y16149D3*
-Y15952D3*
-Y15755D3*
-Y15559D3*
-Y15362D3*
-Y15165D3*
-Y14968D3*
-Y14771D3*
-Y14574D3*
-Y14377D3*
-Y14181D3*
-Y13984D3*
-Y13787D3*
-Y13590D3*
-Y13393D3*
-Y13196D3*
-Y13000D3*
-Y12803D3*
-Y12606D3*
-D58*
-X31411Y11937D3*
-X31215D3*
-X31018D3*
-X30821D3*
-X30624D3*
-X30427D3*
-X30230D3*
-X30033D3*
-X29837D3*
-X29640D3*
-X29443D3*
-X29246D3*
-X29049D3*
-X28852D3*
-X28656D3*
-X28459D3*
-X28262D3*
-X28065D3*
-X27868D3*
-X27671D3*
-X27474D3*
-X27278D3*
-X27081D3*
-X26884D3*
-X26687D3*
+X21689Y19501D3*
+X21886D3*
+X22083D3*
+X22279D3*
+X22476D3*
+X22673D3*
+X22870D3*
+X23067D3*
+X23264D3*
+X23461D3*
+X23657D3*
+X23854D3*
+X24051D3*
+X24248D3*
+X24445D3*
+X24642D3*
+X24838D3*
+X25035D3*
+X25232D3*
+X25429D3*
+X25626D3*
+X25823D3*
+X26020D3*
+X26216D3*
+X26413D3*
+X26610D3*
+X26807D3*
+X27004D3*
+X27201D3*
+X27398D3*
+X27594D3*
+X27791D3*
+X27988D3*
+X28185D3*
+X28382D3*
+X28579D3*
+D54*
+X29346Y18733D3*
+Y18537D3*
+Y18340D3*
+Y18143D3*
+Y17946D3*
+Y17749D3*
+Y17552D3*
+Y17355D3*
+Y17159D3*
+Y16962D3*
+Y16765D3*
+Y16568D3*
+Y16371D3*
+Y16174D3*
+Y15978D3*
+Y15781D3*
+Y15584D3*
+Y15387D3*
+Y15190D3*
+Y14993D3*
+Y14796D3*
+Y14600D3*
+Y14403D3*
+Y14206D3*
+Y14009D3*
+Y13812D3*
+Y13615D3*
+Y13418D3*
+Y13222D3*
+Y13025D3*
+Y12828D3*
+Y12631D3*
+Y12434D3*
+Y12237D3*
+Y12041D3*
+Y11844D3*
D56*
-X26018Y12606D3*
-Y12803D3*
-Y13000D3*
-Y13196D3*
-Y13393D3*
-Y13590D3*
-Y13787D3*
-Y13984D3*
-Y14181D3*
-Y14377D3*
-Y14574D3*
-Y14771D3*
-Y14968D3*
-Y15165D3*
-Y15362D3*
-Y15559D3*
-Y15755D3*
-Y15952D3*
-Y16149D3*
-Y16346D3*
-Y16543D3*
-Y16740D3*
-Y16937D3*
-Y17133D3*
-Y17330D3*
-D52*
-X13312Y17437D3*
-X13812D3*
-X14312D3*
-X14812D3*
-X15312D3*
-X15812D3*
-X16312D3*
-X16812D3*
-X17312D3*
-X17812D3*
-X18312D3*
-X18812D3*
-X19312D3*
-X19812D3*
-Y13736D3*
-X19312D3*
-X18812D3*
-X18312D3*
-X17812D3*
-X17312D3*
-X16812D3*
-X16312D3*
-X15812D3*
-X15312D3*
-X14812D3*
-X14312D3*
-X13812D3*
-X13312D3*
+X28579Y11076D3*
+X28382D3*
+X28185D3*
+X27988D3*
+X27791D3*
+X27594D3*
+X27398D3*
+X27201D3*
+X27004D3*
+X26807D3*
+X26610D3*
+X26413D3*
+X26216D3*
+X26020D3*
+X25823D3*
+X25626D3*
+X25429D3*
+X25232D3*
+X25035D3*
+X24838D3*
+X24642D3*
+X24445D3*
+X24248D3*
+X24051D3*
+X23854D3*
+X23657D3*
+X23461D3*
+X23264D3*
+X23067D3*
+X22870D3*
+X22673D3*
+X22476D3*
+X22279D3*
+X22083D3*
+X21886D3*
+X21689D3*
+D54*
+X20921Y11844D3*
+Y12041D3*
+Y12237D3*
+Y12434D3*
+Y12631D3*
+Y12828D3*
+Y13025D3*
+Y13222D3*
+Y13418D3*
+Y13615D3*
+Y13812D3*
+Y14009D3*
+Y14206D3*
+Y14403D3*
+Y14600D3*
+Y14796D3*
+Y14993D3*
+Y15190D3*
+Y15387D3*
+Y15584D3*
+Y15781D3*
+Y15978D3*
+Y16174D3*
+Y16371D3*
+Y16568D3*
+Y16765D3*
+Y16962D3*
+Y17159D3*
+Y17355D3*
+Y17552D3*
+Y17749D3*
+Y17946D3*
+Y18143D3*
+Y18340D3*
+Y18537D3*
+Y18733D3*
+D46*
+X10250Y15438D3*
+X10750D3*
+X11250D3*
+X11750D3*
+X12250D3*
+X12750D3*
+X13250D3*
+X13750D3*
+X14250D3*
+X14750D3*
+X15250D3*
+X15750D3*
+X16250D3*
+X16750D3*
+Y11737D3*
+X16250D3*
+X15750D3*
+X15250D3*
+X14750D3*
+X14250D3*
+X13750D3*
+X13250D3*
+X12750D3*
+X12250D3*
+X11750D3*
+X11250D3*
+X10750D3*
+X10250D3*
M02*
diff --git a/board/BottomSilk.gbr b/board/BottomSilk.gbr
index bf42d66..7dde486 100644
--- a/board/BottomSilk.gbr
+++ b/board/BottomSilk.gbr
@@ -2,8 +2,8 @@ G04 DipTrace 2.4.0.2*
%INBottomSilk.gbr*%
%MOIN*%
%ADD10C,0.0098*%
+%ADD14C,0.0157*%
%ADD18C,0.0237*%
-%ADD29C,0.0164*%
%ADD76C,0.0077*%
%FSLAX44Y44*%
G04*
@@ -14,463 +14,663 @@ G01*
%LNBotSilk*%
%LPD*%
D18*
-X43299Y17811D3*
-X42648Y18344D2*
+X41991Y12812D3*
+X33347Y12505D2*
D10*
-G03X34655Y18363I-3998J-552D01*
+G03X41340Y12486I3998J552D01*
G01*
-Y17254D2*
-G03X42648Y17235I3998J552D01*
-G01*
-X8816Y13252D2*
-X8581D1*
-X8816Y12622D2*
-X8581D1*
-X8742D2*
-Y13252D1*
-X8816Y12622D2*
-Y13252D1*
-Y14314D2*
-X8581D1*
-X8816Y13685D2*
-X8581D1*
-X8742D2*
-Y14314D1*
-X8816Y13685D2*
-Y14314D1*
-X26490Y17527D2*
-X31609D1*
-Y12409D1*
-X26490D1*
-Y17527D1*
-G36*
-D2*
-X26845D1*
-X26490D1*
-G37*
+X8317Y15940D2*
+X8081D1*
+X8317Y15311D2*
+X8081D1*
+X8242D2*
+Y15940D1*
+X8317Y15311D2*
+Y15940D1*
+Y14878D2*
+X8081D1*
+X8317Y14248D2*
+X8081D1*
+X8242D2*
+Y14878D1*
+X8317Y14248D2*
+Y14878D1*
+X21394Y19027D2*
+X28874D1*
+Y11550D1*
+X21394D1*
+Y19027D1*
+D14*
+X21472Y19500D3*
G36*
-D2*
-X26884D1*
-X26490Y17133D1*
-Y17527D1*
+X21394Y19027D2*
+X21906D1*
+X21394Y18517D1*
+Y19027D1*
G37*
-D29*
-X26435Y18004D3*
-X12980Y16847D2*
+X9918Y14848D2*
D10*
-X20145D1*
-X12980Y14327D2*
-X20145D1*
-Y16847D2*
-Y14327D1*
-X12980Y16847D2*
-Y15901D1*
-Y15272D2*
-Y14327D1*
-Y15901D2*
-G02X12980Y15272I0J-315D01*
+X17083D1*
+X9918Y12328D2*
+X17083D1*
+Y14848D2*
+Y12328D1*
+X9918Y14848D2*
+Y13903D1*
+Y13273D2*
+Y12328D1*
+Y13903D2*
+G02X9918Y13273I0J-315D01*
G01*
-X39135Y22560D2*
+X37721Y13310D2*
D76*
-Y22058D1*
-X38919D1*
-X38847Y22082D1*
-X38823Y22106D1*
-X38800Y22153D1*
-Y22225D1*
-X38823Y22273D1*
-X38847Y22297D1*
-X38919Y22321D1*
-X38847Y22345D1*
-X38823Y22369D1*
-X38800Y22416D1*
-Y22464D1*
-X38823Y22512D1*
-X38847Y22536D1*
-X38919Y22560D1*
-X39135D1*
-Y22321D2*
-X38919D1*
-X38645Y22464D2*
-X38597Y22488D1*
-X38525Y22559D1*
-Y22058D1*
-X9592Y11576D2*
-X9545Y11600D1*
-X9496Y11648D1*
-X9473Y11696D1*
-Y11791D1*
-X9496Y11839D1*
-X9545Y11887D1*
-X9592Y11911D1*
-X9664Y11935D1*
-X9784D1*
-X9855Y11911D1*
-X9903Y11887D1*
-X9951Y11839D1*
-X9975Y11791D1*
-Y11696D1*
-X9951Y11648D1*
-X9903Y11600D1*
-X9855Y11576D1*
-X9473Y11374D2*
-Y11111D1*
-X9664Y11254D1*
-Y11183D1*
-X9688Y11135D1*
-X9712Y11111D1*
-X9784Y11087D1*
-X9831D1*
-X9903Y11111D1*
-X9951Y11159D1*
-X9975Y11231D1*
-Y11303D1*
-X9951Y11374D1*
-X9927Y11398D1*
-X9879Y11422D1*
-X11249Y11083D2*
-X11202Y11107D1*
-X11154Y11155D1*
-X11130Y11203D1*
-Y11298D1*
-X11154Y11347D1*
-X11202Y11394D1*
-X11249Y11418D1*
-X11321Y11442D1*
-X11441D1*
-X11512Y11418D1*
-X11560Y11394D1*
-X11608Y11347D1*
-X11632Y11298D1*
-Y11203D1*
-X11608Y11155D1*
-X11560Y11107D1*
-X11512Y11083D1*
-X11632Y10690D2*
-X11130D1*
-X11465Y10929D1*
-Y10570D1*
-X11249Y9560D2*
-X11202Y9583D1*
-X11154Y9631D1*
-X11130Y9679D1*
-Y9775D1*
-X11154Y9823D1*
-X11202Y9870D1*
-X11249Y9894D1*
-X11321Y9918D1*
-X11441D1*
-X11512Y9894D1*
-X11560Y9870D1*
-X11608Y9823D1*
-X11632Y9775D1*
-Y9679D1*
-X11608Y9631D1*
-X11560Y9583D1*
-X11512Y9560D1*
-X11130Y9118D2*
-Y9357D1*
-X11345Y9381D1*
-X11322Y9357D1*
-X11297Y9285D1*
-Y9214D1*
-X11322Y9142D1*
-X11369Y9094D1*
-X11441Y9070D1*
-X11489D1*
-X11560Y9094D1*
-X11609Y9142D1*
-X11632Y9214D1*
-Y9285D1*
-X11609Y9357D1*
-X11584Y9381D1*
-X11537Y9405D1*
-X33814Y8684D2*
-X33767Y8708D1*
-X33719Y8756D1*
-X33695Y8804D1*
-Y8899D1*
-X33719Y8947D1*
-X33767Y8995D1*
-X33814Y9019D1*
-X33886Y9043D1*
-X34006D1*
-X34077Y9019D1*
-X34125Y8995D1*
-X34173Y8947D1*
-X34197Y8899D1*
-Y8804D1*
-X34173Y8756D1*
-X34125Y8708D1*
-X34077Y8684D1*
-X33862Y8219D2*
-X33934Y8243D1*
-X33982Y8291D1*
-X34006Y8362D1*
-Y8386D1*
-X33982Y8458D1*
-X33934Y8506D1*
-X33862Y8530D1*
-X33838D1*
-X33767Y8506D1*
-X33719Y8458D1*
-X33695Y8386D1*
-Y8362D1*
-X33719Y8291D1*
-X33767Y8243D1*
-X33862Y8219D1*
-X33982D1*
-X34102Y8243D1*
-X34173Y8291D1*
-X34197Y8362D1*
-Y8410D1*
-X34173Y8482D1*
-X34125Y8506D1*
-X33814Y10884D2*
-X33767Y10908D1*
-X33719Y10956D1*
-X33695Y11004D1*
-Y11099D1*
-X33719Y11147D1*
-X33767Y11195D1*
-X33814Y11219D1*
-X33886Y11243D1*
-X34006D1*
-X34077Y11219D1*
-X34125Y11195D1*
-X34173Y11147D1*
-X34197Y11099D1*
-Y11004D1*
-X34173Y10956D1*
-X34125Y10908D1*
-X34077Y10884D1*
-X33791Y10730D2*
-X33767Y10682D1*
-X33695Y10610D1*
-X34197D1*
-X33695Y10312D2*
-X33719Y10384D1*
-X33791Y10432D1*
-X33910Y10456D1*
-X33982D1*
-X34102Y10432D1*
-X34173Y10384D1*
-X34197Y10312D1*
-Y10264D1*
-X34173Y10193D1*
-X34102Y10145D1*
-X33982Y10121D1*
-X33910D1*
-X33791Y10145D1*
-X33719Y10193D1*
-X33695Y10264D1*
-Y10312D1*
-X33791Y10145D2*
-X34102Y10432D1*
-X18072Y11813D2*
-X18096Y11861D1*
-X18144Y11909D1*
-X18192Y11933D1*
-X18287D1*
-X18335Y11909D1*
-X18383Y11861D1*
-X18407Y11813D1*
-X18431Y11742D1*
-Y11622D1*
-X18407Y11550D1*
-X18383Y11502D1*
-X18335Y11455D1*
-X18287Y11430D1*
-X18192D1*
-X18144Y11455D1*
-X18096Y11502D1*
-X18072Y11550D1*
-X17918Y11837D2*
-X17870Y11861D1*
-X17798Y11932D1*
-Y11430D1*
-X17619Y11813D2*
-Y11837D1*
-X17595Y11885D1*
-X17572Y11908D1*
-X17523Y11932D1*
-X17428D1*
-X17380Y11908D1*
-X17357Y11885D1*
-X17332Y11837D1*
-Y11789D1*
-X17357Y11741D1*
-X17404Y11670D1*
-X17643Y11430D1*
-X17308D1*
-X32574Y11376D2*
-X32597Y11423D1*
-X32645Y11471D1*
-X32693Y11495D1*
-X32789D1*
-X32837Y11471D1*
-X32884Y11423D1*
-X32909Y11376D1*
-X32932Y11304D1*
-Y11184D1*
-X32909Y11113D1*
-X32884Y11065D1*
-X32837Y11017D1*
-X32789Y10993D1*
-X32693D1*
-X32645Y11017D1*
-X32597Y11065D1*
-X32574Y11113D1*
-X32419Y11399D2*
-X32371Y11423D1*
-X32299Y11495D1*
-Y10993D1*
-X32097Y11495D2*
-X31834D1*
-X31977Y11303D1*
-X31906D1*
-X31858Y11280D1*
-X31834Y11256D1*
-X31810Y11184D1*
-Y11137D1*
-X31834Y11065D1*
-X31882Y11017D1*
-X31954Y10993D1*
-X32026D1*
-X32097Y11017D1*
-X32121Y11041D1*
-X32145Y11088D1*
-X7692Y13193D2*
-Y12690D1*
-X7524D1*
-X7452Y12715D1*
-X7404Y12762D1*
-X7381Y12810D1*
-X7357Y12882D1*
-Y13001D1*
-X7381Y13073D1*
-X7404Y13121D1*
-X7452Y13169D1*
-X7524Y13193D1*
-X7692D1*
-X7202Y13097D2*
-X7154Y13121D1*
-X7083Y13192D1*
-Y12690D1*
-X7734Y14268D2*
-Y13766D1*
-X7567D1*
-X7495Y13790D1*
-X7447Y13838D1*
-X7423Y13886D1*
-X7399Y13957D1*
-Y14077D1*
-X7423Y14149D1*
-X7447Y14196D1*
-X7495Y14244D1*
-X7567Y14268D1*
-X7734D1*
-X7221Y14148D2*
-Y14172D1*
-X7197Y14220D1*
-X7173Y14244D1*
-X7125Y14268D1*
-X7029D1*
-X6982Y14244D1*
-X6958Y14220D1*
-X6934Y14172D1*
-Y14125D1*
-X6958Y14076D1*
-X7006Y14005D1*
-X7245Y13766D1*
-X6910D1*
-X12498Y11755D2*
-X12283D1*
-X12211Y11780D1*
-X12186Y11803D1*
-X12163Y11851D1*
-Y11899D1*
-X12186Y11946D1*
-X12211Y11971D1*
-X12283Y11995D1*
-X12498D1*
-Y11492D1*
-X12330Y11755D2*
-X12163Y11492D1*
-X12008Y11898D2*
-X11960Y11923D1*
-X11888Y11994D1*
-Y11492D1*
-X12668Y12568D2*
-X12453D1*
-X12381Y12592D1*
-X12356Y12616D1*
-X12333Y12663D1*
-Y12711D1*
-X12356Y12759D1*
-X12381Y12783D1*
-X12453Y12807D1*
-X12668D1*
-Y12305D1*
-X12500Y12568D2*
-X12333Y12305D1*
-X12154Y12687D2*
-Y12711D1*
-X12130Y12759D1*
-X12106Y12783D1*
-X12058Y12806D1*
-X11963D1*
-X11915Y12783D1*
-X11891Y12759D1*
-X11867Y12711D1*
-Y12663D1*
-X11891Y12615D1*
-X11939Y12544D1*
-X12178Y12305D1*
-X11843D1*
-X19794Y11693D2*
-X19579D1*
-X19507Y11718D1*
-X19483Y11742D1*
-X19459Y11789D1*
-Y11837D1*
-X19483Y11885D1*
-X19507Y11909D1*
-X19579Y11933D1*
-X19794D1*
-Y11430D1*
-X19626Y11693D2*
-X19459Y11430D1*
-X19065D2*
-Y11932D1*
-X19304Y11598D1*
-X18946D1*
-X29354Y19047D2*
-Y18688D1*
-X29330Y18616D1*
-X29282Y18569D1*
-X29210Y18544D1*
-X29163D1*
-X29091Y18569D1*
-X29043Y18616D1*
-X29019Y18688D1*
-Y19047D1*
-X28865Y18951D2*
-X28816Y18975D1*
-X28745Y19046D1*
-Y18544D1*
-X16986Y18760D2*
-Y18401D1*
-X16962Y18329D1*
-X16914Y18282D1*
-X16842Y18257D1*
-X16795D1*
-X16723Y18282D1*
-X16675Y18329D1*
-X16651Y18401D1*
-Y18760D1*
-X16257Y18257D2*
-Y18759D1*
-X16497Y18425D1*
-X16138D1*
+Y12807D1*
+X37505D1*
+X37433Y12832D1*
+X37410Y12856D1*
+X37386Y12903D1*
+Y12975D1*
+X37410Y13023D1*
+X37433Y13047D1*
+X37505Y13070D1*
+X37433Y13095D1*
+X37410Y13119D1*
+X37386Y13166D1*
+Y13214D1*
+X37410Y13262D1*
+X37433Y13286D1*
+X37505Y13310D1*
+X37721D1*
+Y13070D2*
+X37505D1*
+X37231Y13214D2*
+X37183Y13238D1*
+X37111Y13309D1*
+Y12807D1*
+X9071Y11751D2*
+X9095Y11798D1*
+X9143Y11846D1*
+X9190Y11870D1*
+X9286D1*
+X9334Y11846D1*
+X9382Y11798D1*
+X9406Y11751D1*
+X9430Y11679D1*
+Y11559D1*
+X9406Y11488D1*
+X9382Y11440D1*
+X9334Y11392D1*
+X9286Y11368D1*
+X9190D1*
+X9143Y11392D1*
+X9095Y11440D1*
+X9071Y11488D1*
+X8869Y11870D2*
+X8606D1*
+X8749Y11678D1*
+X8677D1*
+X8630Y11655D1*
+X8606Y11631D1*
+X8582Y11559D1*
+Y11511D1*
+X8606Y11440D1*
+X8654Y11392D1*
+X8725Y11368D1*
+X8797D1*
+X8869Y11392D1*
+X8892Y11416D1*
+X8917Y11463D1*
+X16688Y10646D2*
+X16640Y10669D1*
+X16592Y10718D1*
+X16568Y10765D1*
+Y10861D1*
+X16592Y10909D1*
+X16640Y10956D1*
+X16688Y10981D1*
+X16760Y11004D1*
+X16880D1*
+X16951Y10981D1*
+X16999Y10956D1*
+X17047Y10909D1*
+X17071Y10861D1*
+Y10765D1*
+X17047Y10718D1*
+X16999Y10669D1*
+X16951Y10646D1*
+X17071Y10252D2*
+X16569D1*
+X16903Y10491D1*
+Y10133D1*
+X16688Y9309D2*
+X16640Y9333D1*
+X16592Y9381D1*
+X16568Y9429D1*
+Y9524D1*
+X16592Y9572D1*
+X16640Y9620D1*
+X16688Y9644D1*
+X16760Y9668D1*
+X16880D1*
+X16951Y9644D1*
+X16999Y9620D1*
+X17047Y9572D1*
+X17071Y9524D1*
+Y9429D1*
+X17047Y9381D1*
+X16999Y9333D1*
+X16951Y9309D1*
+X16569Y8868D2*
+Y9107D1*
+X16784Y9131D1*
+X16760Y9107D1*
+X16736Y9035D1*
+Y8964D1*
+X16760Y8892D1*
+X16808Y8844D1*
+X16880Y8820D1*
+X16927D1*
+X16999Y8844D1*
+X17047Y8892D1*
+X17071Y8964D1*
+Y9035D1*
+X17047Y9107D1*
+X17023Y9131D1*
+X16975Y9155D1*
+X18625Y12009D2*
+X18578Y12033D1*
+X18530Y12081D1*
+X18506Y12128D1*
+Y12224D1*
+X18530Y12272D1*
+X18578Y12320D1*
+X18625Y12344D1*
+X18697Y12368D1*
+X18817D1*
+X18889Y12344D1*
+X18937Y12320D1*
+X18984Y12272D1*
+X19008Y12224D1*
+Y12128D1*
+X18984Y12081D1*
+X18937Y12033D1*
+X18889Y12009D1*
+X19008Y11759D2*
+X18507Y11520D1*
+Y11855D1*
+X32127Y8922D2*
+X32079Y8946D1*
+X32031Y8994D1*
+X32008Y9042D1*
+Y9137D1*
+X32031Y9185D1*
+X32079Y9233D1*
+X32127Y9257D1*
+X32199Y9281D1*
+X32319D1*
+X32390Y9257D1*
+X32438Y9233D1*
+X32486Y9185D1*
+X32510Y9137D1*
+Y9042D1*
+X32486Y8994D1*
+X32438Y8946D1*
+X32390Y8922D1*
+X32175Y8457D2*
+X32247Y8481D1*
+X32295Y8529D1*
+X32319Y8601D1*
+Y8624D1*
+X32295Y8696D1*
+X32247Y8744D1*
+X32175Y8768D1*
+X32151D1*
+X32079Y8744D1*
+X32032Y8696D1*
+X32008Y8624D1*
+Y8601D1*
+X32032Y8529D1*
+X32079Y8481D1*
+X32175Y8457D1*
+X32295D1*
+X32414Y8481D1*
+X32486Y8529D1*
+X32510Y8601D1*
+Y8648D1*
+X32486Y8720D1*
+X32438Y8744D1*
+X32127Y11146D2*
+X32079Y11170D1*
+X32031Y11218D1*
+X32008Y11265D1*
+Y11361D1*
+X32031Y11409D1*
+X32079Y11457D1*
+X32127Y11481D1*
+X32199Y11505D1*
+X32319D1*
+X32390Y11481D1*
+X32438Y11457D1*
+X32486Y11409D1*
+X32510Y11361D1*
+Y11265D1*
+X32486Y11218D1*
+X32438Y11170D1*
+X32390Y11146D1*
+X32104Y10992D2*
+X32079Y10944D1*
+X32008Y10872D1*
+X32510D1*
+X32008Y10574D2*
+X32032Y10646D1*
+X32104Y10694D1*
+X32223Y10717D1*
+X32295D1*
+X32414Y10694D1*
+X32486Y10646D1*
+X32510Y10574D1*
+Y10526D1*
+X32486Y10454D1*
+X32414Y10407D1*
+X32295Y10382D1*
+X32223D1*
+X32104Y10407D1*
+X32032Y10454D1*
+X32008Y10526D1*
+Y10574D1*
+X32104Y10407D2*
+X32414Y10694D1*
+X20335Y9188D2*
+X20358Y9236D1*
+X20407Y9284D1*
+X20454Y9307D1*
+X20550D1*
+X20598Y9284D1*
+X20645Y9236D1*
+X20670Y9188D1*
+X20693Y9116D1*
+Y8996D1*
+X20670Y8925D1*
+X20645Y8877D1*
+X20598Y8829D1*
+X20550Y8805D1*
+X20454D1*
+X20407Y8829D1*
+X20358Y8877D1*
+X20335Y8925D1*
+X20180Y9211D2*
+X20132Y9236D1*
+X20060Y9307D1*
+Y8805D1*
+X19882Y9188D2*
+Y9211D1*
+X19858Y9259D1*
+X19834Y9283D1*
+X19786Y9307D1*
+X19690D1*
+X19643Y9283D1*
+X19619Y9259D1*
+X19595Y9211D1*
+Y9164D1*
+X19619Y9116D1*
+X19667Y9044D1*
+X19906Y8805D1*
+X19571D1*
+X30835Y8251D2*
+X30859Y8298D1*
+X30907Y8346D1*
+X30955Y8370D1*
+X31050D1*
+X31099Y8346D1*
+X31146Y8298D1*
+X31170Y8251D1*
+X31194Y8179D1*
+Y8059D1*
+X31170Y7988D1*
+X31146Y7939D1*
+X31099Y7892D1*
+X31050Y7868D1*
+X30955D1*
+X30907Y7892D1*
+X30859Y7939D1*
+X30835Y7988D1*
+X30681Y8274D2*
+X30633Y8298D1*
+X30561Y8369D1*
+Y7868D1*
+X30359Y8369D2*
+X30096D1*
+X30239Y8178D1*
+X30167D1*
+X30120Y8154D1*
+X30096Y8131D1*
+X30072Y8059D1*
+Y8011D1*
+X30096Y7939D1*
+X30144Y7891D1*
+X30216Y7868D1*
+X30287D1*
+X30359Y7891D1*
+X30382Y7916D1*
+X30407Y7963D1*
+X7192Y15881D2*
+Y15379D1*
+X7025D1*
+X6953Y15403D1*
+X6905Y15451D1*
+X6881Y15499D1*
+X6857Y15570D1*
+Y15690D1*
+X6881Y15762D1*
+X6905Y15810D1*
+X6953Y15858D1*
+X7025Y15881D1*
+X7192D1*
+X6703Y15785D2*
+X6655Y15810D1*
+X6583Y15881D1*
+Y15379D1*
+X7235Y14832D2*
+Y14329D1*
+X7067D1*
+X6996Y14354D1*
+X6947Y14401D1*
+X6924Y14449D1*
+X6900Y14521D1*
+Y14640D1*
+X6924Y14712D1*
+X6947Y14760D1*
+X6996Y14808D1*
+X7067Y14832D1*
+X7235D1*
+X6721Y14712D2*
+Y14736D1*
+X6697Y14784D1*
+X6674Y14807D1*
+X6626Y14831D1*
+X6530D1*
+X6482Y14807D1*
+X6459Y14784D1*
+X6434Y14736D1*
+Y14688D1*
+X6459Y14640D1*
+X6506Y14569D1*
+X6746Y14329D1*
+X6411D1*
+X8185Y13756D2*
+X7970D1*
+X7899Y13781D1*
+X7874Y13805D1*
+X7850Y13852D1*
+Y13900D1*
+X7874Y13948D1*
+X7899Y13972D1*
+X7970Y13996D1*
+X8185D1*
+Y13493D1*
+X8018Y13756D2*
+X7850Y13493D1*
+X7696Y13900D2*
+X7648Y13924D1*
+X7576Y13995D1*
+Y13493D1*
+X8293Y13006D2*
+X8078D1*
+X8006Y13031D1*
+X7982Y13054D1*
+X7958Y13102D1*
+Y13150D1*
+X7982Y13198D1*
+X8006Y13222D1*
+X8078Y13246D1*
+X8293D1*
+Y12743D1*
+X8125Y13006D2*
+X7958Y12743D1*
+X7779Y13126D2*
+Y13150D1*
+X7755Y13198D1*
+X7732Y13221D1*
+X7684Y13245D1*
+X7588D1*
+X7540Y13221D1*
+X7517Y13198D1*
+X7492Y13150D1*
+Y13102D1*
+X7517Y13054D1*
+X7564Y12983D1*
+X7804Y12743D1*
+X7469D1*
+X20619Y9818D2*
+X20404D1*
+X20332Y9843D1*
+X20308Y9866D1*
+X20284Y9914D1*
+Y9962D1*
+X20308Y10009D1*
+X20332Y10034D1*
+X20404Y10058D1*
+X20619D1*
+Y9555D1*
+X20451Y9818D2*
+X20284Y9555D1*
+X19890D2*
+Y10057D1*
+X20129Y9723D1*
+X19771D1*
+X22244Y8983D2*
+Y8768D1*
+X22220Y8696D1*
+X22196Y8672D1*
+X22148Y8648D1*
+X22100D1*
+X22053Y8672D1*
+X22028Y8696D1*
+X22005Y8768D1*
+Y8983D1*
+X22507D1*
+X22244Y8816D2*
+X22507Y8648D1*
+X22076Y8207D2*
+X22029Y8231D1*
+X22005Y8303D1*
+Y8350D1*
+X22029Y8422D1*
+X22101Y8470D1*
+X22220Y8494D1*
+X22339D1*
+X22435Y8470D1*
+X22483Y8422D1*
+X22507Y8350D1*
+Y8326D1*
+X22483Y8255D1*
+X22435Y8207D1*
+X22363Y8183D1*
+X22339D1*
+X22268Y8207D1*
+X22220Y8255D1*
+X22196Y8326D1*
+Y8350D1*
+X22220Y8422D1*
+X22268Y8470D1*
+X22339Y8494D1*
+X22869Y8969D2*
+Y8754D1*
+X22845Y8682D1*
+X22821Y8658D1*
+X22773Y8634D1*
+X22725D1*
+X22678Y8658D1*
+X22653Y8682D1*
+X22630Y8754D1*
+Y8969D1*
+X23132D1*
+X22869Y8801D2*
+X23132Y8634D1*
+Y8384D2*
+X22630Y8144D1*
+Y8479D1*
+X23496Y8968D2*
+Y8753D1*
+X23472Y8682D1*
+X23448Y8657D1*
+X23400Y8634D1*
+X23352D1*
+X23305Y8657D1*
+X23280Y8682D1*
+X23257Y8753D1*
+Y8968D1*
+X23759D1*
+X23496Y8801D2*
+X23759Y8634D1*
+X23257Y8360D2*
+X23281Y8431D1*
+X23328Y8455D1*
+X23377D1*
+X23424Y8431D1*
+X23448Y8384D1*
+X23472Y8288D1*
+X23496Y8216D1*
+X23544Y8169D1*
+X23592Y8145D1*
+X23663D1*
+X23711Y8169D1*
+X23735Y8192D1*
+X23759Y8264D1*
+Y8360D1*
+X23735Y8431D1*
+X23711Y8455D1*
+X23663Y8479D1*
+X23592D1*
+X23544Y8455D1*
+X23496Y8407D1*
+X23472Y8336D1*
+X23448Y8240D1*
+X23424Y8192D1*
+X23377Y8169D1*
+X23328D1*
+X23281Y8192D1*
+X23257Y8264D1*
+Y8360D1*
+X24121Y8957D2*
+Y8742D1*
+X24097Y8670D1*
+X24073Y8646D1*
+X24025Y8622D1*
+X23977D1*
+X23930Y8646D1*
+X23905Y8670D1*
+X23882Y8742D1*
+Y8957D1*
+X24384D1*
+X24121Y8789D2*
+X24384Y8622D1*
+X24049Y8156D2*
+X24121Y8181D1*
+X24169Y8228D1*
+X24193Y8300D1*
+Y8324D1*
+X24169Y8396D1*
+X24121Y8443D1*
+X24049Y8468D1*
+X24025D1*
+X23954Y8443D1*
+X23906Y8396D1*
+X23882Y8324D1*
+Y8300D1*
+X23906Y8228D1*
+X23954Y8181D1*
+X24049Y8156D1*
+X24169D1*
+X24288Y8181D1*
+X24360Y8228D1*
+X24384Y8300D1*
+Y8348D1*
+X24360Y8419D1*
+X24312Y8443D1*
+X24753Y8958D2*
+Y8743D1*
+X24728Y8672D1*
+X24705Y8647D1*
+X24657Y8623D1*
+X24609D1*
+X24561Y8647D1*
+X24537Y8672D1*
+X24513Y8743D1*
+Y8958D1*
+X25016D1*
+X24753Y8791D2*
+X25016Y8623D1*
+X24610Y8469D2*
+X24585Y8421D1*
+X24514Y8349D1*
+X25016D1*
+X24514Y8051D2*
+X24538Y8123D1*
+X24610Y8171D1*
+X24729Y8195D1*
+X24801D1*
+X24920Y8171D1*
+X24992Y8123D1*
+X25016Y8051D1*
+Y8003D1*
+X24992Y7932D1*
+X24920Y7884D1*
+X24801Y7860D1*
+X24729D1*
+X24610Y7884D1*
+X24538Y7932D1*
+X24514Y8003D1*
+Y8051D1*
+X24610Y7884D2*
+X24920Y8171D1*
+X25371Y8956D2*
+Y8741D1*
+X25347Y8669D1*
+X25323Y8645D1*
+X25275Y8621D1*
+X25227D1*
+X25180Y8645D1*
+X25156Y8669D1*
+X25132Y8741D1*
+Y8956D1*
+X25634D1*
+X25371Y8788D2*
+X25634Y8621D1*
+X25228Y8467D2*
+X25204Y8418D1*
+X25132Y8347D1*
+X25634D1*
+X25228Y8192D2*
+X25204Y8144D1*
+X25132Y8072D1*
+X25634D1*
+X25438Y20548D2*
+Y20190D1*
+X25415Y20118D1*
+X25367Y20070D1*
+X25295Y20046D1*
+X25247D1*
+X25175Y20070D1*
+X25127Y20118D1*
+X25103Y20190D1*
+Y20548D1*
+X24949Y20452D2*
+X24901Y20476D1*
+X24829Y20548D1*
+Y20046D1*
+X13924Y16761D2*
+Y16402D1*
+X13900Y16330D1*
+X13852Y16283D1*
+X13780Y16259D1*
+X13733D1*
+X13661Y16283D1*
+X13613Y16330D1*
+X13589Y16402D1*
+Y16761D1*
+X13196Y16259D2*
+Y16760D1*
+X13435Y16426D1*
+X13076D1*
M02*
diff --git a/board/Through.drl b/board/Through.drl
index 8c2901c..ec9bd2e 100644
--- a/board/Through.drl
+++ b/board/Through.drl
@@ -5,312 +5,235 @@ T02C0.0354
T03C0.0433
%
T01
-X+011250Y+007749
-X+010687Y+007750
-X+009750Y+007312
-X+008750Y+007312
-X+008312Y+008750
-X+008875Y+010125
-X+008625Y+011875
-X+009312Y+012125
-X+009750Y+012375
-X+010000Y+013563
-X+008562Y+015001
-X+007249Y+015626
-X+006499Y+016251
-X+005874Y+016813
-X+004562Y+015313
-X+005937Y+013501
-X+007249Y+012438
-X+004562Y+012250
-X+004562Y+010188
-X+004562Y+008813
-X+011000Y+009438
-X+010875Y+010875
-X+011687Y+012125
-X+012437Y+011500
-X+012750Y+011187
-X+013062Y+010875
-X+012938Y+009500
-X+013188Y+008250
-X+014562Y+009937
-X+014562Y+010562
-X+014375Y+012437
-X+014687Y+012750
-X+015000Y+012437
-X+015312Y+012750
-X+015625Y+012437
-X+015875Y+012875
-X+016312Y+012500
-X+016625Y+012750
-X+017063Y+012938
-X+017750Y+012875
-X+018500Y+012812
-X+018626Y+012500
-X+017313Y+012250
-X+016687Y+010562
-X+017063Y+010313
-X+016687Y+009937
+X+011188Y+007937
+X+011063Y+008312
+X+010438Y+007375
+X+009750Y+007375
+X+008750Y+007375
+X+008750Y+008312
+X+009625Y+009375
+X+011688Y+009375
+X+012313Y+009688
+X+012313Y+010313
+X+011688Y+010500
+X+013375Y+010500
+X+014438Y+010313
+X+014438Y+009688
+X+013375Y+009375
+X+013751Y+007750
+X+014251Y+007812
+X+015001Y+008062
+X+015376Y+008062
+X+015938Y+007937
+X+015938Y+008375
+X+015688Y+009375
+X+016063Y+010313
+X+015251Y+010500
+X+017063Y+010563
+X+017876Y+010375
+X+018001Y+010750
+X+018001Y+010000
X+017751Y+009625
-X+018188Y+009250
-X+018438Y+009563
-X+018876Y+009938
-X+019000Y+010437
-X+019312Y+010750
-X+019625Y+011062
-X+020062Y+011500
-X+020437Y+011812
-X+020812Y+012125
-X+021000Y+012500
-X+020955Y+012880
-X+020751Y+013375
-X+020375Y+013562
-X+020251Y+012938
-X+021251Y+013501
-X+022560Y+013625
-X+022560Y+014000
-X+022560Y+014375
-X+022560Y+014750
-X+022560Y+015125
-X+022560Y+015500
-X+022560Y+015875
-X+022560Y+016250
-X+022188Y+016251
-X+024189Y+016001
-X+024189Y+015626
-X+024501Y+015376
-X+024189Y+015126
-X+024501Y+014876
-X+024189Y+014626
-X+024501Y+014376
-X+024189Y+014126
-X+024001Y+013188
-X+023875Y+012500
-X+023500Y+012250
-X+023875Y+012000
-X+023500Y+011750
-X+023875Y+011500
-X+023500Y+011250
-X+023376Y+010750
-X+023751Y+009938
-X+023439Y+009563
-X+023126Y+009188
-X+023064Y+008688
-X+023939Y+008375
-X+023750Y+007687
-X+023312Y+007687
-X+022564Y+007312
-X+021688Y+007312
-X+020688Y+007812
-X+020688Y+008813
-X+021626Y+008938
-X+021626Y+010375
-X+019688Y+008813
-X+019688Y+007875
-X+018688Y+008062
-X+018376Y+010438
-X+016812Y+014625
-X+016437Y+014812
-X+016062Y+015000
-X+015687Y+015187
-X+015312Y+015375
-X+014937Y+015562
-X+014562Y+015750
-X+014187Y+015937
-X+013812Y+016125
-X+013437Y+016312
-X+013062Y+016500
-X+012812Y+015438
-X+012812Y+014438
-X+013562Y+012875
-X+014062Y+012750
-X+012750Y+012563
-X+010000Y+016063
-X+009437Y+017126
-X+009437Y+018251
-X+009187Y+018814
-X+008500Y+020876
-X+008687Y+022564
-X+011250Y+022564
-X+013000Y+022564
-X+014375Y+021439
-X+014500Y+019937
-X+014187Y+019687
-X+013875Y+019937
-X+013562Y+019687
-X+012750Y+019189
-X+014812Y+019687
-X+015125Y+019937
-X+015437Y+019687
-X+015750Y+019937
-X+016062Y+019687
-X+016562Y+019687
-X+016938Y+019689
-X+017313Y+019689
-X+018313Y+019939
-X+019251Y+019751
-X+019626Y+019689
-X+020001Y+019564
-X+020376Y+019564
-X+020751Y+019439
-X+021063Y+019189
-X+020751Y+018939
-X+021063Y+018689
-X+020751Y+018439
-X+021063Y+018189
-X+020750Y+017687
-X+020438Y+017938
-X+020437Y+017437
-X+019813Y+018126
-X+018563Y+018251
-X+017188Y+016188
-X+018001Y+015688
-X+018563Y+015376
-X+017188Y+015001
-X+020250Y+015062
-X+023001Y+013501
-X+023001Y+012813
-X+024564Y+012188
-X+024751Y+011188
-X+026251Y+012188
-X+026665Y+013056
-X+027564Y+013501
-X+026689Y+014188
-X+026705Y+015555
-X+026689Y+016501
-X+027001Y+017376
-X+027627Y+016626
-X+028252Y+015001
-X+029127Y+015126
-X+029752Y+014438
-X+029789Y+013478
-X+029375Y+013187
-X+029375Y+012562
-X+028627Y+012563
-X+028752Y+013501
-X+030314Y+012625
-X+030939Y+012625
-X+031502Y+012625
-X+031449Y+013030
-X+031250Y+013312
-X+031564Y+014501
-X+032750Y+013687
-X+033252Y+013563
-X+033565Y+013250
-X+033877Y+012938
-X+034190Y+012625
-X+033565Y+012313
-X+034565Y+011438
-X+035065Y+010500
-X+035502Y+010500
-X+035502Y+009375
-X+034940Y+008813
-X+035125Y+007437
-X+036000Y+007437
-X+036812Y+007437
-X+037687Y+007437
+X+017126Y+009125
+X+017938Y+008437
+X+018001Y+007937
+X+018376Y+007937
+X+018751Y+007937
+X+019126Y+008000
+X+019501Y+008125
+X+019876Y+008250
+X+020251Y+008375
+X+020626Y+008500
+X+020251Y+009750
+X+020814Y+010438
+X+020501Y+010750
+X+021376Y+010813
+X+021876Y+010125
+X+023627Y+010375
+X+024627Y+011675
+X+025689Y+012438
+X+026314Y+011688
+X+026877Y+010500
+X+027127Y+009813
+X+027752Y+009500
+X+027752Y+010125
+X+028940Y+010938
+X+029252Y+011125
+X+029627Y+010938
+X+030190Y+010625
+X+030627Y+010500
+X+030815Y+011000
+X+031315Y+011438
+X+031614Y+011661
+X+031002Y+012375
+X+030752Y+012625
+X+030502Y+012875
+X+030440Y+013375
+X+029940Y+013000
+X+028815Y+013125
+X+028190Y+013250
+X+027627Y+013876
+X+027940Y+014126
+X+027627Y+014376
+X+028002Y+014626
+X+027564Y+015188
+X+027877Y+015438
+X+027564Y+015688
+X+027877Y+015938
+X+027502Y+016188
+X+027752Y+016438
+X+027439Y+016688
+X+027314Y+017313
+X+027002Y+017563
+X+028127Y+016876
+X+028752Y+017751
+X+030065Y+017876
+X+030315Y+018126
+X+030315Y+018564
+X+030315Y+019001
+X+029752Y+019376
+X+029002Y+019376
+X+030440Y+019751
+X+030752Y+016813
+X+030065Y+016813
+X+031690Y+016251
+X+031690Y+014938
+X+031752Y+012688
+X+032002Y+010125
+X+032002Y+009500
+X+032753Y+009938
+X+032628Y+008187
+X+032753Y+007562
+X+032002Y+007562
+X+030690Y+007625
+X+029440Y+007625
+X+028690Y+007625
+X+027940Y+007625
+X+027314Y+007625
+X+026752Y+007375
+X+026503Y+008033
+X+025877Y+007375
+X+025377Y+007375
+X+024439Y+007375
+X+023689Y+007375
+X+023189Y+007375
+X+022814Y+007375
+X+021939Y+007625
+X+021376Y+007250
+X+019501Y+010875
+X+019564Y+011438
+X+020001Y+012063
+X+019689Y+012313
+X+019439Y+012563
+X+019189Y+013250
+X+019189Y+013876
+X+018439Y+014188
+X+018753Y+014642
+X+018439Y+015063
+X+018439Y+015501
+X+018376Y+015938
+X+018814Y+016438
+X+018376Y+016813
+X+019939Y+017063
+X+021564Y+016876
+X+021876Y+018001
+X+022126Y+018314
+X+022376Y+018001
+X+022626Y+018314
+X+022876Y+018001
+X+023127Y+018314
+X+023377Y+018001
+X+023627Y+018314
+X+023877Y+018001
+X+024127Y+018314
+X+024439Y+018001
+X+024689Y+018314
+X+024939Y+018001
+X+025189Y+018314
+X+025689Y+018376
+X+023189Y+020064
+X+021626Y+018314
+X+021564Y+015563
+X+022626Y+015188
+X+022876Y+012188
+X+025803Y+009919
+X+029877Y+010125
+X+029877Y+009500
+X+031377Y+008813
+X+034565Y+007500
+X+035440Y+007500
+X+036315Y+007500
+X+037316Y+007937
+X+037566Y+007500
X+038187Y+007437
X+038628Y+007250
-X+039128Y+008750
-X+038253Y+009875
-X+037065Y+009875
-X+035815Y+012063
-X+035190Y+013063
-X+034625Y+013937
-X+035000Y+014187
-X+035315Y+014501
-X+035000Y+014750
-X+034625Y+014500
-X+034625Y+015062
-X+035000Y+015312
-X+034625Y+015625
-X+035000Y+015875
-X+034625Y+016187
-X+035000Y+016437
-X+035690Y+017813
-X+033687Y+017375
-X+033279Y+017743
-X+031940Y+017813
-X+031314Y+016938
-X+030383Y+017055
-X+029939Y+016751
-X+029810Y+016021
-X+028812Y+018687
-X+028377Y+018626
-X+027437Y+019187
-X+026126Y+017813
-X+025314Y+018501
-X+024439Y+018689
-X+023251Y+019376
-X+021876Y+021814
-X+024876Y+022126
-X+028189Y+021439
-X+029814Y+019751
-X+030627Y+019439
-X+031564Y+019439
-X+031564Y+020439
-X+030627Y+020439
-X+032627Y+020376
-X+033190Y+019564
-X+034377Y+019814
-X+036252Y+019876
-X+037565Y+022126
-X+038878Y+022439
-X+040753Y+020501
-X+040003Y+019689
-X+038565Y+017688
-X+040315Y+016438
-X+041941Y+014313
-X+041315Y+012188
-X+042941Y+012188
-X+042941Y+010250
-X+042941Y+008750
-X+041315Y+010313
-X+039128Y+011938
-X+037128Y+013188
-X+033690Y+010500
-X+033250Y+010000
-X+033250Y+009375
-X+033190Y+007937
-X+031062Y+009375
-X+031062Y+010000
-X+030377Y+010625
-X+030189Y+011000
-X+030752Y+011000
-X+031350Y+011000
-X+031627Y+011250
-X+032565Y+011000
-X+029627Y+010188
-X+028812Y+011062
-X+028187Y+010500
-X+028502Y+008813
-X+029627Y+008562
-X+029187Y+007312
-X+028312Y+007312
-X+027189Y+007250
-X+026625Y+007687
-X+025750Y+007687
-X+025189Y+008562
-X+024251Y+007250
-X+026187Y+009937
-X+030375Y+007062
-X+034002Y+022126
-X+042566Y+018626
-X+042941Y+017001
-X+011125Y+020626
-X+006624Y+020626
-X+006874Y+018751
-X+004562Y+018376
+X+035190Y+008875
+X+036565Y+012563
+X+038128Y+012563
+X+040316Y+012875
+X+043004Y+013313
+X+043004Y+012125
+X+043004Y+010313
+X+043004Y+008688
+X+034753Y+013375
+X+018501Y+012563
+X+018439Y+013438
+X+016688Y+013688
+X+016376Y+014126
+X+015751Y+013375
+X+014938Y+013063
+X+014626Y+013313
+X+014376Y+013000
+X+013938Y+012938
+X+013438Y+012938
+X+013125Y+012688
+X+012813Y+012938
+X+012500Y+012688
+X+012188Y+012938
+X+011875Y+012688
+X+011500Y+012813
+X+011125Y+012688
+X+009750Y+012125
+X+008250Y+012813
+X+007187Y+013375
+X+006750Y+015126
+X+006562Y+016688
+X+007937Y+018063
+X+008312Y+017876
+X+008688Y+016313
+X+011313Y+016876
+X+011688Y+017001
+X+012063Y+017001
+X+012438Y+017001
+X+013438Y+018939
+X+014688Y+018939
+X+011438Y+019501
+X+010375Y+018439
+X+012000Y+014376
+X+012313Y+014188
+X+012625Y+014001
+X+012938Y+013813
+X+013751Y+013563
+X+014313Y+014501
+X+014751Y+014501
+X+015001Y+014188
+X+017001Y+007625
+X+016376Y+007437
+X+006500Y+008688
+X+004375Y+008688
+X+004375Y+010313
+X+004375Y+012125
+X+004375Y+014563
T02
-X+008312Y+010937
-X+007312Y+010937
+X+008062Y+009875
+X+007062Y+009875
T03
-X+042687Y+017812
-X+034616Y+017812
-X+033125Y+021000
-X+032125Y+021000
-X+031125Y+021000
-X+030125Y+021000
-X+029125Y+021000
+X+041378Y+013063
+X+033308Y+013063
+X+030002Y+020439
+X+029002Y+020439
+X+028002Y+020439
+X+027002Y+020439
+X+026002Y+020439
T00
M30
diff --git a/board/Top.gbr b/board/Top.gbr
index 3f53026..c0cb7b0 100644
--- a/board/Top.gbr
+++ b/board/Top.gbr
@@ -1,28 +1,26 @@
G04 DipTrace 2.4.0.2*
%INTop.gbr*%
%MOIN*%
-%ADD13C,0.0157*%
-%ADD14C,0.0118*%
-%ADD16C,0.0059*%
+%ADD13C,0.0059*%
+%ADD14C,0.0157*%
+%ADD15C,0.0118*%
%ADD17C,0.025*%
%ADD19R,0.0748X0.0748*%
-%ADD20C,0.0748*%
-%ADD21R,0.0354X0.0276*%
-%ADD22R,0.063X0.063*%
-%ADD23C,0.063*%
-%ADD24R,0.0276X0.0354*%
-%ADD25R,0.063X0.0709*%
-%ADD26R,0.0709X0.2559*%
-%ADD27R,0.0669X0.0669*%
-%ADD28C,0.0669*%
-%ADD30R,0.0118X0.063*%
-%ADD31R,0.063X0.0118*%
-%ADD32R,0.1181X0.0118*%
-%ADD35R,0.0374X0.0846*%
-%ADD36R,0.128X0.0846*%
-%ADD37R,0.0709X0.0157*%
-%ADD38R,0.0157X0.0709*%
-%ADD39C,0.0276*%
+%ADD20C,0.0669*%
+%ADD21R,0.0787X0.1575*%
+%ADD22R,0.0354X0.0276*%
+%ADD23R,0.063X0.063*%
+%ADD24C,0.063*%
+%ADD25R,0.0276X0.0354*%
+%ADD26R,0.063X0.0709*%
+%ADD27R,0.0709X0.2559*%
+%ADD29R,0.063X0.0118*%
+%ADD31R,0.0374X0.0846*%
+%ADD32R,0.128X0.0846*%
+%ADD33R,0.1181X0.0118*%
+%ADD35R,0.0118X0.0787*%
+%ADD36R,0.0157X0.0709*%
+%ADD37C,0.0276*%
%FSLAX44Y44*%
G04*
G70*
@@ -31,3760 +29,2948 @@ G75*
G01*
%LNTop*%
%LPD*%
-X7843Y16375D2*
-D13*
-Y11407D1*
-X8312Y10937D1*
-X16721Y11765D2*
-D14*
-Y11371D1*
-D13*
-Y10596D1*
-X16687Y10562D1*
-X14556Y11765D2*
-D14*
-Y11371D1*
-D13*
-Y10568D1*
-X14562Y10562D1*
-X33221Y11765D2*
+X7780Y14126D2*
D14*
-Y11184D1*
-D13*
-Y10028D1*
-X33250Y10000D1*
-X31056Y11765D2*
+X7812D1*
+Y13250D1*
+Y10125D1*
+X8062Y9875D1*
+X32002Y10125D2*
+X32252D1*
+Y11409D1*
+X32508D1*
+X16063Y10313D2*
+X17188Y11438D1*
+X19564D1*
+X19968D1*
+X20001Y11471D1*
+X19564Y11438D2*
+X19597Y11471D1*
+X20257D1*
+X16688Y13688D2*
+Y15251D1*
+X16878Y15440D1*
+D15*
+X17559D1*
+X18501Y12563D2*
D14*
-Y11184D1*
-D13*
-Y10006D1*
-X31062Y10000D1*
-X19434Y15190D2*
+Y12625D1*
+D15*
+X18442Y12685D1*
+X17559D1*
+X10000Y15440D2*
+X9152D1*
D14*
-X20103D1*
-D13*
-X20121D1*
-X20250Y15062D1*
-X19434Y12435D2*
+Y13250D1*
+X7812D1*
+X14471Y11640D2*
+D15*
+Y11167D1*
D14*
-X20103D1*
-D13*
-X20372D1*
-X20437Y12500D1*
-X21000D1*
-X11875Y15190D2*
+Y10346D1*
+X14438Y10313D1*
+X12306Y11640D2*
+D15*
+Y11167D1*
D14*
-X11002D1*
-X10562Y14750D1*
-Y12750D1*
-D13*
-X8750Y10937D1*
-X8312D1*
-X31000Y15572D2*
+X12313D1*
+Y10313D1*
+X29911Y11765D2*
+D15*
+Y10159D1*
D14*
-X30606D1*
-D13*
-X30375D1*
-Y17031D1*
-X30718Y17375D1*
-X30937D1*
-X33687D1*
-X31000Y15178D2*
+X29877Y10125D1*
+X27745Y11765D2*
+D15*
+X27752Y10125D1*
+X23377Y15185D2*
+X22983D1*
D14*
-X30606D1*
-D13*
-X30375D1*
-Y15572D1*
-X30383Y17055D2*
-X30375Y17031D1*
-X30312Y16374D2*
-X30375D1*
-Y15572D1*
-X20580Y12505D2*
-X20994D1*
-X21000Y12500D1*
-X21251Y13501D2*
-Y13659D1*
-X21280Y13688D1*
-X21813D1*
-Y13944D1*
-X21280D1*
-X21876Y21814D2*
-Y13944D1*
-X21280D1*
-X35815Y12063D2*
-Y11594D1*
-X35924Y11485D1*
-X36180D1*
-X8074Y19500D2*
-X8750D1*
-Y17313D1*
-Y16876D1*
-X8812D1*
-Y16311D1*
-X9186Y15937D1*
-X8748Y16375D1*
-X8750Y17313D2*
-X6499D1*
-Y16251D1*
-X16721Y8812D2*
+X22689D1*
+X22192D1*
+X22126Y15251D1*
+X23377Y15579D2*
+D15*
+X22983D1*
D14*
+X22689D1*
+Y15185D1*
+X22626Y15188D2*
+X22689Y15185D1*
+X9152Y15440D2*
+Y18340D1*
+X10875Y20064D1*
+X23461D1*
+X23939D1*
+X25627Y18376D1*
+X25689D1*
+X30065D1*
+X30315Y18126D1*
+X23189Y20064D2*
+X23461D1*
+X25689Y17939D2*
+Y18376D1*
+X8000Y17313D2*
+Y17063D1*
+X8686D1*
+Y18314D1*
+Y16566D1*
+Y15126D1*
+Y14126D1*
+Y15126D2*
+X6750D1*
+X14471Y8687D2*
+D15*
Y9206D1*
-D13*
-Y9903D1*
-X16687Y9937D1*
-X14556Y8812D2*
D14*
+Y9655D1*
+X14438Y9688D1*
+X12306Y8687D2*
+D15*
Y9206D1*
-D13*
-Y9931D1*
-X14562Y9937D1*
-X33221Y8812D2*
D14*
-Y9346D1*
-D13*
-X33250Y9375D1*
-X31056Y8812D2*
+Y9681D1*
+X12313Y9688D1*
+X29911Y8813D2*
+D15*
+Y9466D1*
D14*
-X31062Y9375D1*
+X29877Y9500D1*
+X27745Y8813D2*
+D15*
+Y9494D1*
+X27752Y9500D1*
X38187Y7437D2*
-D13*
+D14*
Y5400D1*
X38186Y5399D1*
-X9186Y15937D2*
-Y12251D1*
-X9312Y12125D1*
-X24876Y22126D2*
-Y14188D1*
-X24376Y13688D1*
-X23564D1*
-X35502Y9375D2*
-X35750D1*
-X35924Y9201D1*
-X24501Y13688D2*
-X24376D1*
-X9437Y18251D2*
-Y17126D1*
+X32002Y9500D2*
+Y9125D1*
+X32252D1*
+X17751Y9625D2*
+X17938D1*
+X18439Y9125D1*
+X20001D1*
+Y9188D1*
+X8686Y18314D2*
+X10811Y20439D1*
+X24064D1*
+X25814Y18689D1*
+X30190D1*
+X30315Y18564D1*
+X8688Y16313D2*
+X8686Y16566D1*
+X8312Y17876D2*
+Y18376D1*
+X10750Y20814D1*
+X24189D1*
+X26002Y19001D1*
+X30315D1*
X26186Y5399D2*
-D16*
-Y7249D1*
-X26625Y7687D1*
-X16918Y11765D2*
-Y12230D1*
-X17250Y12563D1*
-X17875D1*
-X18001Y12688D1*
-Y15687D1*
-Y16750D1*
-X19418D1*
-X19434Y16765D1*
-X18001Y15688D2*
-Y15687D1*
-Y16750D2*
-Y18189D1*
-X18313Y18501D1*
-X19876D1*
-X20188Y18189D1*
-X21063D1*
+D13*
+Y7065D1*
+X25877Y7375D1*
+X14274Y11640D2*
+Y12149D1*
+X14376Y12250D1*
+X14626D1*
+X15188Y12813D1*
+Y13438D1*
+X14313Y14313D1*
+Y14501D1*
+Y15501D1*
+X15813Y17001D1*
+X17544D1*
+X17559Y17015D1*
+X14313Y14501D2*
+D3*
X21186Y5399D2*
-Y6938D1*
-X20625Y7500D1*
-X17062D1*
-X16937Y7625D1*
-Y8793D1*
-X16918Y8812D1*
-X14162Y11765D2*
-Y12275D1*
-X14062Y12375D1*
-Y12750D1*
-X11875Y16175D2*
-X12762D1*
-X12875Y16062D1*
-X13750D1*
-X13812Y16125D1*
-X13937D1*
-X17313Y19500D1*
-Y19689D1*
+Y6690D1*
+X20501Y7375D1*
+X16813D1*
+X16501Y7687D1*
+X16251D1*
+X16188Y7625D1*
+X14813D1*
+X14274Y8164D1*
+Y8687D1*
+X11912Y11640D2*
+Y12651D1*
+X11875Y12688D1*
+X10000Y16425D2*
+X11549D1*
+X12125Y17001D1*
+X12063D1*
X11186Y5399D2*
-Y7186D1*
-X11312Y7312D1*
-X13812D1*
-X14187Y7687D1*
-Y8787D1*
-X14162Y8812D1*
-X13768Y11765D2*
-Y12481D1*
-X13562Y12687D1*
-Y12875D1*
-X11875Y16372D2*
-X12752D1*
-X12875Y16250D1*
-X13375D1*
-X13437Y16312D1*
-Y16375D1*
-X16405Y19343D1*
-X16655D1*
-X16938Y19625D1*
-Y19689D1*
+Y6686D1*
+X11912Y7412D1*
+Y8687D1*
+X11518Y11640D2*
+Y12813D1*
+X11500D1*
+X10000Y16622D2*
+X11434D1*
+X11688Y16876D1*
+Y17001D1*
X10186Y5399D2*
-Y7061D1*
-X10562Y7437D1*
-X13625D1*
-X13750Y7562D1*
-Y8793D1*
-X13768Y8812D1*
-X13571Y11765D2*
-Y12250D1*
-X13063D1*
-X12750Y12563D1*
-X11875Y16568D2*
-X12993D1*
-X13062Y16500D1*
-Y16625D1*
-X13500D1*
-X16562Y19687D1*
-X27437Y19187D2*
-X28312D1*
-X28812Y18687D1*
-X11250Y7749D2*
-X11375D1*
-X11562Y7562D1*
-X13500D1*
-X13562Y7625D1*
-Y8803D1*
-X13571Y8812D1*
-X16524Y11765D2*
-Y12149D1*
-X16631Y12256D1*
-X16756D1*
-X17250Y12750D1*
-X17625D1*
-X17750Y12875D1*
-X18500Y12812D2*
-X19417D1*
-X19434Y12828D1*
-X17750Y12875D2*
-Y18187D1*
-X18189Y18626D1*
+Y6686D1*
+X11000Y7500D1*
+X11188D1*
+X11518Y7831D1*
+Y8687D1*
+X11322Y11640D2*
+Y12367D1*
+X11063Y12625D1*
+Y12688D1*
+X11125D1*
+X10000Y16818D2*
+X11255D1*
+X11313Y16876D1*
+X11188Y7937D2*
+X11322D1*
+Y8687D1*
+X14668Y11640D2*
+Y12105D1*
+X15313Y12750D1*
+Y13063D1*
+X17544D1*
+X17559Y13078D1*
+X15313Y13063D2*
+Y13501D1*
+X14751Y14063D1*
+Y14501D1*
+X14865Y8687D2*
+Y8198D1*
+X15001Y8062D1*
+X14865Y11640D2*
+Y12115D1*
+X15063Y12313D1*
+X19689D1*
+X10000Y17015D2*
+X11077D1*
+X13250Y19189D1*
+X23189D1*
+X23877Y18501D1*
+Y18001D1*
+X15259Y8687D2*
+Y8125D1*
+X15313D1*
+X15376Y8062D1*
+X15259Y11640D2*
+Y12133D1*
+X15313Y12188D1*
+X19376D1*
+X19501Y12063D1*
X20001D1*
-X20188Y18439D1*
-X20751D1*
-X18438Y9563D2*
-X22812D1*
-X23439D1*
-X11875Y16765D2*
-X13453D1*
-X16062Y19375D1*
-Y19687D1*
-X18188Y9250D2*
-X23126D1*
-Y9188D1*
-X11875Y16962D2*
-X13462D1*
-X15812Y19312D1*
-Y19875D1*
-X15750Y19937D1*
-X11875Y17159D2*
-X13471D1*
-X15437Y19124D1*
-Y19687D1*
+X10000Y17212D2*
+X11086D1*
+X13188Y19314D1*
+X23314D1*
+X24127Y18501D1*
+Y18314D1*
+X10000Y17409D2*
+X11096D1*
+X13125Y19439D1*
+X23377D1*
+X24439Y18376D1*
+Y18001D1*
X20186Y5399D2*
-Y7188D1*
-X20000Y7375D1*
-X16687D1*
-X16500Y7562D1*
-Y8787D1*
-X16524Y8812D1*
-X11875Y17356D2*
-X13481D1*
-X15125Y19000D1*
-Y19937D1*
-X19434Y17356D2*
-X20293D1*
-X20374Y17437D1*
-X20437D1*
-X11875Y14206D2*
-X11206D1*
-X11000Y14000D1*
-Y12000D1*
-X11312Y11687D1*
-X12250D1*
-X12437Y11500D1*
-X19625Y11062D2*
-X21000D1*
-X21750Y11812D1*
-X23437D1*
-X23500Y11750D1*
-X11875Y14403D2*
-X11153D1*
-X10875Y14125D1*
-Y11813D1*
-X11501Y11187D1*
-X12750D1*
-X19312Y10750D2*
-X20875D1*
-X21625Y11500D1*
-X23875D1*
-X11875Y15781D2*
-X11218D1*
-X11125Y15875D1*
-Y17875D1*
-X11250Y18000D1*
-X13562D1*
-X14187Y18625D1*
-Y19687D1*
-X11875Y15584D2*
-X11227D1*
-X11000Y15812D1*
-Y17937D1*
-X11187Y18125D1*
-X13500D1*
-X13875Y18500D1*
-Y19937D1*
-X11875Y14994D2*
-X11181D1*
-X10750Y14562D1*
-Y11625D1*
-X11500Y10875D1*
-X13062D1*
-X19000Y10437D2*
-X20750D1*
-X21562Y11250D1*
-X23500D1*
-X16327Y11765D2*
-Y12140D1*
-X16625Y12437D1*
-Y12750D1*
-X11875Y12828D2*
-X12766D1*
-X14500Y14562D1*
-X16812D1*
-Y14625D1*
-Y17500D1*
-X18064Y18751D1*
-X20126D1*
-X20188Y18689D1*
-X21063D1*
-X11875Y17553D2*
-X13490D1*
-X14812Y18875D1*
-Y19687D1*
-X11875Y17750D2*
-X13500D1*
-X14500Y18750D1*
-Y19937D1*
-X19434Y17750D2*
-X20124D1*
-X20313Y17938D1*
-X20438D1*
+Y6690D1*
+X19626Y7250D1*
+X16626D1*
+X16438Y7437D1*
+X16376D1*
+X15938Y7937D2*
+X15876D1*
+X15688Y7750D1*
+X14938D1*
+X14668Y8020D1*
+Y8687D1*
+X10000Y17606D2*
+X11105D1*
+X13063Y19564D1*
+X23439D1*
+X24689Y18314D1*
+X17559Y17606D2*
+X22481D1*
+X22876Y18001D1*
+X10000Y14456D2*
+X11581D1*
+X15438Y18314D1*
+X21626D1*
+X10000Y14653D2*
+X11590D1*
+X15501Y18564D1*
+X21876D1*
+X22126Y18314D1*
+X10000Y16031D2*
+X12218D1*
+X15251Y19064D1*
+X23002D1*
+X23627Y18439D1*
+Y18314D1*
+X10000Y15834D2*
+X12209D1*
+X15313Y18939D1*
+X22876D1*
+X23377Y18439D1*
+Y18001D1*
+X10000Y15244D2*
+X11993D1*
+X15438Y18689D1*
+X22251D1*
+X22626Y18314D1*
+X13684Y11640D2*
+Y12246D1*
+X14376Y12938D1*
+Y13000D1*
+X14188Y13188D1*
+X11750D1*
+X11641Y13078D1*
+X10000D1*
+Y17803D2*
+X11114D1*
+X13000Y19689D1*
+X23689D1*
+X24939Y18439D1*
+Y18001D1*
+X10000Y18000D2*
+X11124D1*
+X12938Y19814D1*
+X23814D1*
+X25189Y18439D1*
+Y18314D1*
+X17559Y18000D2*
+X21876D1*
+Y18001D1*
X19186Y5399D2*
-Y7125D1*
-X19062Y7250D1*
-X16500D1*
-X16312Y7437D1*
-Y8797D1*
-X16327Y8812D1*
-X19434Y17553D2*
-X20178D1*
-X20312Y17687D1*
-X20750D1*
-X15934Y11765D2*
-Y12121D1*
-X16312Y12500D1*
-X11875Y13025D2*
-X12775D1*
-X14500Y14750D1*
-X16437D1*
-Y14812D1*
-Y17312D1*
-X18001Y18876D1*
-X20688D1*
-X20751Y18939D1*
+Y6689D1*
+X18751Y7125D1*
+X16313D1*
+X15938Y7500D1*
+X14188D1*
+X14001Y7687D1*
+Y7875D1*
+X13684Y8192D1*
+Y8687D1*
+X17559Y17803D2*
+X21637D1*
+X21689Y17751D1*
+X22126D1*
+X22376Y18001D1*
+X14077Y11640D2*
+Y12327D1*
+X14626Y12875D1*
+Y13313D1*
+X11125D1*
+X11087Y13275D1*
+X10000D1*
X18186Y5399D2*
-Y7063D1*
-X18125Y7125D1*
-X16062D1*
-X15937Y7250D1*
-Y8809D1*
-X15934Y8812D1*
-X15737Y11765D2*
-Y12112D1*
-X15875Y12250D1*
-Y12875D1*
-X11875Y13222D2*
-X12784D1*
-X14500Y14937D1*
-X16062D1*
-Y15000D1*
-Y17125D1*
-X17939Y19001D1*
-X20438D1*
-X20626Y19189D1*
-X21063D1*
+Y6689D1*
+X17876Y7000D1*
+X16251D1*
+X15876Y7375D1*
+X14126D1*
+X13751Y7750D1*
+X14251Y7812D2*
+X14077Y7986D1*
+Y8687D1*
+X13487Y11640D2*
+Y12299D1*
+X13938Y12750D1*
+Y12938D1*
+X10000Y13472D2*
+X13659D1*
+X13751Y13563D1*
X17186Y5399D2*
-Y6875D1*
-X17062Y7000D1*
-X15937D1*
-X15750Y7187D1*
-Y8799D1*
-X15737Y8812D1*
-X15540Y11765D2*
-Y12352D1*
-X15625Y12437D1*
-X11875Y13419D2*
+Y6689D1*
+X17001Y6875D1*
+X16188D1*
+X15813Y7250D1*
+X13813D1*
+X13487Y7576D1*
+Y8687D1*
+X13290Y11640D2*
+Y12415D1*
+X13438Y12563D1*
+Y12938D1*
+X10000Y13669D2*
X12794D1*
-X14437Y15062D1*
-X15687D1*
-Y15187D1*
-Y16937D1*
-X17876Y19126D1*
-X20313D1*
-X20626Y19439D1*
-X20751D1*
+X12938Y13813D1*
X16186Y5399D2*
Y5756D1*
-X16067Y5875D1*
+X16068Y5875D1*
X16187D1*
-Y6562D1*
-X15540Y7209D1*
-Y8812D1*
-X15343Y11765D2*
-Y12718D1*
-X15312Y12750D1*
-X11875Y13616D2*
-X12803D1*
-X14375Y15187D1*
-X15312D1*
-Y15375D1*
-Y16750D1*
-X17814Y19251D1*
-X20063D1*
-X20376Y19564D1*
+Y6688D1*
+X15751Y7125D1*
+X13688D1*
+X13290Y7523D1*
+Y8687D1*
+X13093Y11640D2*
+Y12406D1*
+X13125Y12438D1*
+Y12688D1*
+X10000Y13866D2*
+X12625D1*
+Y14001D1*
X15186Y5399D2*
-Y5999D1*
-X15343Y6155D1*
-Y8812D1*
-X14949Y11765D2*
-Y12387D1*
-X15000Y12437D1*
-X11875Y13812D2*
-X12813D1*
-X14327Y15327D1*
-X14702D1*
-X14937Y15562D1*
-Y16562D1*
-X17751Y19376D1*
-X19813D1*
-X20001Y19564D1*
+Y6689D1*
+X14876Y7000D1*
+X13626D1*
+X13093Y7532D1*
+Y8687D1*
+X12700Y11640D2*
+Y12512D1*
+X12813Y12625D1*
+Y12938D1*
+X10000Y14063D2*
+X12187D1*
+X12313Y14188D1*
X14186Y5399D2*
-Y6936D1*
-X14937Y7687D1*
-Y8800D1*
-X14949Y8812D1*
-X14752Y11765D2*
-Y12684D1*
-X14687Y12750D1*
-X11875Y14009D2*
-X12822D1*
-X14562Y15750D1*
-Y16375D1*
-X17689Y19501D1*
-X19501D1*
-X19626Y19626D1*
-Y19689D1*
+Y6689D1*
+X14001Y6875D1*
+X13375D1*
+X12700Y7551D1*
+Y8687D1*
+X12503Y11640D2*
+X12500Y12688D1*
+X10000Y14259D2*
+X11884D1*
+X12000Y14376D1*
X13186Y5399D2*
-Y6874D1*
-X13375Y7062D1*
-X14062D1*
-X14750Y7749D1*
-Y8809D1*
-X14752Y8812D1*
-X14359Y11765D2*
-Y12421D1*
-X14375Y12437D1*
-X11875Y15978D2*
-X12771D1*
-X12875Y15875D1*
-X14125D1*
-X14187Y15937D1*
-Y16187D1*
-X17626Y19626D1*
-X19251D1*
-Y19751D1*
+Y6689D1*
+X12503Y7373D1*
+Y8687D1*
+X12109Y11640D2*
+Y12296D1*
+X12188Y12375D1*
+Y12938D1*
+X10000Y16228D2*
+X11727D1*
+X12500Y17001D1*
+X12438D1*
X12186Y5399D2*
-Y6874D1*
-X12500Y7187D1*
-X13937D1*
-X14375Y7624D1*
-Y8796D1*
-X14359Y8812D1*
-X19434Y13616D2*
-X20053D1*
-X20438Y14001D1*
-Y14126D1*
-X20751Y14438D1*
-X21263D1*
-X21280Y14456D1*
-X23564Y14200D2*
-X24114D1*
-X24189Y14126D1*
-X23564Y14200D2*
-X23013D1*
-X22814Y14001D1*
-Y13878D1*
-X22560Y13625D1*
-X19434Y14009D2*
-X20135D1*
-X20313Y14188D1*
-Y14313D1*
-X20688Y14688D1*
-X21257D1*
-X21280Y14712D1*
-X23564Y14456D2*
-X23921D1*
-X24001Y14376D1*
-X24501D1*
-X23564Y14456D2*
-X23017D1*
-X22560Y14000D1*
-X19434Y14403D2*
-X20153D1*
-X20688Y14938D1*
+Y7376D1*
+X12109Y7454D1*
+Y8687D1*
+X17559Y13866D2*
+X19189D1*
+X19511D1*
+X20751Y12625D1*
+Y11489D1*
+X20769Y11471D1*
+X19189Y13876D2*
+Y13866D1*
+X20513Y9188D2*
+X20501D1*
+Y8562D1*
+X20689D1*
+X20626Y8500D1*
+X17559Y14259D2*
+X18439D1*
+X19305D1*
+X21001Y12563D1*
+Y11495D1*
+X21025Y11471D1*
+X18439Y14188D2*
+Y14259D1*
+X20769Y9188D2*
+X20751D1*
+Y8750D1*
+X20876Y8625D1*
+Y8312D1*
+X20814Y8250D1*
+X20251D1*
+Y8375D1*
+X17559Y14653D2*
+X18751D1*
+X19099D1*
+X21251Y12500D1*
+Y11500D1*
+X21281Y11471D1*
+X18753Y14642D2*
+Y14653D1*
+X21025Y9188D2*
+X21001D1*
+Y8250D1*
+X20876Y8125D1*
+X20001D1*
+X19876Y8250D1*
+X17559Y15047D2*
+X18439D1*
+X18892D1*
+X21501Y12438D1*
+Y11436D1*
+X21537Y11471D1*
+X18439Y15063D2*
+Y15047D1*
+X21281Y9188D2*
X21251D1*
-X21280Y14968D1*
-X23564Y14712D2*
-X24103D1*
-X24189Y14626D1*
-X23564Y14712D2*
-X22898D1*
-X22560Y14375D1*
-X19434Y14797D2*
-X20359D1*
-X20751Y15188D1*
-X21245D1*
-X21280Y15223D1*
-X23564Y14968D2*
-X23972D1*
-X24064Y14876D1*
-X24501D1*
-X23564Y14968D2*
-X22778D1*
-X22560Y14750D1*
-X19434Y15387D2*
-X20575D1*
-X20688Y15501D1*
-X21259D1*
-X21280Y15479D1*
-X23564Y15223D2*
-X24091D1*
-X24189Y15126D1*
-X23564Y15223D2*
-X22659D1*
-X22560Y15125D1*
-X19434Y15781D2*
-X20596D1*
-X20626Y15751D1*
-X21265D1*
-X21280Y15735D1*
-X23564Y15479D2*
-X23960D1*
-X24064Y15376D1*
-X24501D1*
-X23564Y15479D2*
-X22581D1*
-X22560Y15500D1*
-X19434Y16175D2*
-X20514D1*
-X20688Y16001D1*
-X21271D1*
-X21280Y15991D1*
-X23564Y15735D2*
-X24079D1*
-X24189Y15626D1*
-X23564Y15735D2*
-X22700D1*
-X22560Y15875D1*
-X19434Y16568D2*
-X20371D1*
-X20688Y16251D1*
-X21276D1*
-X21280Y16247D1*
-X23564Y15991D2*
-X24179D1*
-X24189Y16001D1*
-X23564Y15991D2*
-X22819D1*
-X22560Y16250D1*
+Y8312D1*
+X20939Y8000D1*
+X19626D1*
+X19501Y8125D1*
+X18439Y15501D2*
+X18376D1*
+X18239Y15637D1*
+X17559D1*
+X18439Y15501D2*
+X18626D1*
+X21814Y12313D1*
+Y11492D1*
+X21793Y11471D1*
+X21537Y9188D2*
+X21564D1*
+Y8437D1*
+X21001Y7875D1*
+X19251D1*
+X19126Y8000D1*
+X17559Y16031D2*
+X18376D1*
+X18283D1*
+X22064Y12250D1*
+Y11456D1*
+X22048Y11471D1*
+X18376Y15938D2*
+Y16031D1*
+X21793Y9188D2*
+X21814D1*
+Y8500D1*
+X21064Y7750D1*
+X18939D1*
+X18751Y7937D1*
+X17559Y16425D2*
+X18765D1*
+X18939Y16251D1*
+Y15563D1*
+X22314Y12188D1*
+Y11481D1*
+X22304Y11471D1*
+X18814Y16438D2*
+X18800Y16425D1*
+X18765D1*
+X22048Y9188D2*
+X22064D1*
+Y8562D1*
+X21126Y7625D1*
+X18626D1*
+X18376Y7875D1*
+Y7937D1*
+X17559Y16818D2*
+X18871D1*
+X19064Y16626D1*
+Y15626D1*
+X22560Y12129D1*
+Y11471D1*
+X18376Y16813D2*
+X18871Y16818D1*
+X22304Y9188D2*
+X22314D1*
+Y8625D1*
+X21189Y7500D1*
+X18189D1*
+X18001Y7687D1*
+Y7937D1*
X22186Y5399D2*
-Y6561D1*
-X23312Y7687D1*
-X28187Y10500D2*
-X28250D1*
-X28812Y11062D1*
-X19434Y13419D2*
-X20169D1*
-X20312Y13562D1*
-X20375D1*
-X20955Y12880D2*
-X23001D1*
-Y12813D1*
-Y13501D2*
-Y13876D1*
-X23064Y13938D1*
-X23558D1*
-X23564Y13944D1*
-X11875Y15387D2*
-X11237D1*
-X10875Y15750D1*
-Y18000D1*
-X11125Y18250D1*
-X13437D1*
-X13562Y18375D1*
-Y19687D1*
-X17902Y11765D2*
+Y6747D1*
+X22814Y7375D1*
+X17559Y13275D2*
+X18226D1*
+X18939Y12563D1*
+X19439D1*
+X17559Y13669D2*
+X18770D1*
+X19189Y13250D1*
+X20257Y9188D2*
+Y9744D1*
+X20251Y9750D1*
+X10000Y15637D2*
+X12199D1*
+X15376Y18814D1*
+X22626D1*
+X23127Y18314D1*
+X15652Y11640D2*
+D15*
+Y10000D1*
+X16501D1*
D14*
+X17220Y10719D1*
+X17626Y11125D1*
+X19564D1*
+X19939Y10750D1*
+X20513D1*
+X21376D1*
+X22816D1*
+Y11471D1*
+X15652Y10000D2*
+X15062D1*
+X13881D1*
+X12896D1*
+X11125D1*
Y10250D1*
-Y8812D1*
-X7562Y19500D2*
-D13*
-X6998D1*
-X6029Y18531D1*
-Y13501D1*
-Y11038D1*
-X6817Y10250D1*
-X8875D1*
X9186D1*
Y5399D1*
-X17902Y10250D2*
-X17312D1*
-X17063D1*
-X16130D1*
-X15146D1*
-X13993D1*
-X13375D1*
-X9186D1*
-X16130Y11765D2*
-D14*
+X12896Y11640D2*
+D15*
+Y10000D1*
+Y8687D2*
+Y10000D1*
+X11125Y11640D2*
Y10250D1*
-X15146Y11765D2*
-Y10250D1*
-Y8812D2*
-Y10250D1*
-X13965Y11765D2*
-Y8812D1*
-X13375Y11765D2*
-Y10250D1*
-Y8812D2*
-Y10250D1*
-X6937Y16375D2*
-D13*
-Y11038D1*
-X6029D1*
-X6937Y16375D2*
-Y11312D1*
-X7312Y10937D1*
-X34402Y11765D2*
+Y8687D2*
+Y10000D1*
+X6875Y14126D2*
D14*
-Y11184D1*
+Y10063D1*
+X7062Y9875D1*
+X24400Y10063D2*
+X24377D1*
+Y9500D1*
+Y9188D1*
+Y8500D1*
+X24186Y8310D1*
+Y5399D1*
+X30895Y8813D2*
D13*
-Y10875D1*
-Y9687D1*
-Y9018D1*
+X31092D1*
+X28336Y11765D2*
+D15*
+Y9813D1*
+Y8813D1*
+X30501Y11765D2*
+Y10500D1*
+Y9813D1*
+Y8813D1*
+X13881Y8687D2*
+Y10000D1*
+X15062Y8687D2*
+Y10000D1*
+X29320Y11765D2*
+Y11125D1*
+Y9813D1*
+Y8813D1*
+X15062Y11640D2*
+Y10000D1*
+X24400Y9500D2*
D14*
-Y8812D1*
-X24186Y5399D2*
-D13*
-Y7250D1*
-Y9390D1*
-Y10364D1*
-Y11436D1*
-Y13186D1*
-X24001D1*
-X22188D1*
-X20751D1*
-X20688D1*
-X20580D1*
-X20753D1*
-X20717Y13222D1*
+X24377D1*
+X26564Y11765D2*
+D15*
+Y9875D1*
+Y9813D1*
+Y8813D1*
+X22560Y9188D2*
D14*
-X19434D1*
-X34402Y9687D2*
-D13*
-X33812D1*
-X32630D1*
-X31646D1*
-X30465D1*
-X29875D1*
-Y9390D1*
-X24186D1*
-X30465Y11765D2*
+X22816D1*
+X32764Y11409D2*
+Y10313D1*
+X34812D1*
+X35067D1*
+Y11409D1*
+X34812Y9125D2*
+Y10313D1*
+X35067D2*
+Y9125D1*
+X15652Y8687D2*
+D15*
+X15455D1*
+X13881Y11640D2*
+Y10000D1*
+X20513Y11471D2*
D14*
-Y10625D1*
-D13*
-Y9687D1*
-X29875Y11765D2*
+Y10750D1*
+X18439Y13438D2*
+X18405Y13472D1*
+D15*
+X17559D1*
+X20513Y10762D2*
D14*
-Y9687D1*
-Y8812D2*
-Y9390D1*
-X30465Y8812D2*
-Y9687D1*
-X31646Y8812D2*
-Y9687D1*
-X32630Y8812D2*
-Y9687D1*
-X33812Y8812D2*
-Y9687D1*
-X19434Y17159D2*
-X21409D1*
-X21212Y16962D1*
-X19434D1*
-X17115Y8812D2*
-D16*
-X17312D1*
-X17508D2*
-X17312D1*
-X17705D2*
-X17508D1*
-X33615D2*
-X33812D1*
-X33418D2*
-X33615D1*
-X34008D2*
-X33812D1*
-X34205D2*
-X34402D1*
-X32827D2*
-X32630D1*
-X33024D2*
-X32827D1*
-X31646Y11765D2*
+X20501Y10750D1*
+X17559Y17409D2*
+D15*
+X18501D1*
+Y17313D1*
+Y17212D1*
+X17559D1*
+X22816Y9188D2*
D14*
-Y11250D1*
-Y9687D1*
-X6998Y19500D2*
-D13*
-X10185Y22687D1*
-X13000D1*
-X21501D1*
-X22313D1*
-X25187D1*
-Y21000D1*
-Y18501D1*
-Y17812D1*
-Y15557D1*
-Y13688D1*
-Y13186D1*
-X24186D1*
-X38657Y14981D2*
+X24377D1*
+X27155Y11765D2*
+D15*
+Y9813D1*
+Y8813D1*
+X24377Y8500D2*
D14*
-X38263D1*
-D13*
-X36590D1*
+X25189D1*
+X26564Y9875D1*
+Y9813D2*
+X27155D1*
+X28336D1*
+X29320D1*
+X30501D1*
+X31034Y14989D2*
+D15*
+X30640D1*
D14*
-X36196D1*
-X38657Y16162D2*
-X38263D1*
-D13*
-X36590D1*
+X28967D1*
+D15*
+X28573D1*
+X31034Y16170D2*
+X30640D1*
D14*
-X36196D1*
-X33812Y11765D2*
-Y10500D1*
-Y9687D1*
-X36196Y16162D2*
-X35625D1*
-Y14981D1*
-X36196D1*
-X16130Y8812D2*
-Y10250D1*
-X17312Y8812D2*
-Y10250D1*
-X32630Y11765D2*
-Y11000D1*
-Y9687D1*
-X17312Y11765D2*
-Y10250D1*
-X19434Y13222D2*
-X20187D1*
-Y13025D1*
-X19434D1*
-X22188Y13186D2*
-D13*
-Y16251D1*
-Y16501D1*
-X22313Y16626D1*
-Y17126D1*
-X23189D1*
-X23564Y16751D1*
-Y16503D1*
-X24913Y10364D2*
-X24186D1*
-X24924Y11436D2*
-X24186D1*
-X21409Y17159D2*
-X21501D1*
-Y22687D1*
-X29125Y21000D2*
-X25187D1*
-X34616Y17812D2*
-X33279D1*
-X31940D1*
-X29796D1*
-Y17313D1*
-X28587D1*
-Y17812D1*
-X26126D1*
-X25187D1*
-Y15557D2*
-X26703D1*
-X26705Y15555D1*
-X24186Y13186D2*
-X26535D1*
-X26665Y13056D1*
-X29796Y17313D2*
-Y16014D1*
-Y14438D1*
-Y13478D1*
-Y12983D1*
-X29375Y12562D1*
-X29810Y16021D2*
-X29796Y16014D1*
-X29789Y13478D2*
-X29796D1*
-X29800Y16374D2*
-X29796Y16014D1*
-X20580Y13017D2*
-Y13186D1*
-X38657Y16162D2*
+X28967D1*
+D15*
+X28573D1*
+X31034Y14989D2*
+X31428D1*
D14*
-X39051D1*
-D13*
-X39251D1*
-X39544Y16455D1*
-Y16955D1*
-X38731Y17768D1*
-X38565D1*
-X35690D1*
-X34660D1*
-X34616Y17812D1*
-X13965Y11765D2*
+X31640D1*
+X31690Y14938D1*
+X26564Y11765D2*
+D15*
+X26427D1*
D14*
-Y10813D1*
-D13*
-Y10278D1*
-X13993Y10250D1*
-X21501Y17159D2*
-Y16724D1*
-X21280Y16503D1*
-Y14200D2*
-X20825D1*
-X20688Y14063D1*
-Y13186D1*
-X23564Y16247D2*
-Y16503D1*
-X34402Y10875D2*
-X36436D1*
-X38483D1*
-X38753D1*
-Y11471D1*
-X38739Y11485D1*
-X36436D2*
-Y10875D1*
-X38483Y9201D2*
-Y10875D1*
-X38753D2*
-Y9215D1*
-X38739Y9201D1*
-X17312Y11765D2*
+X26392D1*
+X26314Y11688D1*
+X22816Y11471D2*
+Y12128D1*
+X22876Y12188D1*
+X18501Y17313D2*
+X19689D1*
+X19939Y17063D1*
+X31690Y16251D2*
+D15*
+Y16170D1*
+X31034D1*
+X37363Y12543D2*
D14*
-Y12158D1*
-D13*
-X17313D1*
-Y12250D1*
-X20251Y12938D2*
+X36585D1*
+X36565Y12563D1*
+X37363Y12543D2*
+X38108D1*
+X38128Y12563D1*
+X17559Y13472D2*
+D15*
+X16847D1*
+X16751Y13375D1*
D14*
-Y13025D1*
-X20187D1*
-X22188Y16251D2*
-D13*
-D3*
-X19434Y13222D2*
+X15751D1*
+X18439Y13438D2*
+X19001Y12875D1*
+X19939D1*
+X20501Y12313D1*
+Y11483D1*
+X20513Y11471D1*
+X27127Y9813D2*
+D15*
+X27155D1*
+X22876Y12188D2*
D14*
-X18592D1*
-D13*
-X18501Y13313D1*
-Y15313D1*
-X18563Y15376D1*
-X18626Y12500D2*
-X18188D1*
-Y15001D1*
-X18563Y15376D1*
-X26126Y17813D2*
-Y17812D1*
-X31940Y17813D2*
-Y17812D1*
-X33690Y10500D2*
-X33812D1*
-Y11765D2*
+X21814Y13250D1*
+Y14313D1*
+X21564Y14563D1*
+Y15563D1*
+X30627Y10500D2*
+D15*
+X30501D1*
+X29252Y11125D2*
+X29320D1*
+X30065Y16813D2*
D14*
-Y12158D1*
-D13*
-Y12254D1*
-X33752Y12313D1*
-X33565D1*
-X29752Y14438D2*
-X29796D1*
-X24001Y13188D2*
-Y13186D1*
-X31250Y13312D2*
-X31313D1*
-X31940Y13938D1*
-X17063Y10313D2*
-Y10250D1*
-X13000Y22564D2*
-Y22687D1*
-X25314Y18501D2*
-X25187D1*
-X5937Y13501D2*
-X6029D1*
-X24251Y7250D2*
-X24186D1*
-X8875Y10125D2*
-Y10250D1*
-X30377Y10625D2*
-X30465D1*
-X35690Y17813D2*
-Y17768D1*
-X38565Y17688D2*
-Y17768D1*
-X19434Y16962D2*
+X28127D1*
+Y16876D1*
+X11715Y11640D2*
+D15*
+Y11167D1*
D14*
-X18626D1*
-Y17159D1*
-X19434D1*
-X20751Y13375D2*
-D13*
-Y13186D1*
-X33279Y17743D2*
-Y17812D1*
-X25013Y13688D2*
-X25187D1*
-X22313Y17126D2*
-Y22687D1*
-X19434Y13025D2*
+Y10500D1*
+Y9403D1*
+X11688Y9375D1*
+X29320Y11765D2*
+D15*
+Y12381D1*
D14*
-X18788D1*
-X18592Y13222D1*
-X32630Y11765D2*
-Y12129D1*
-X32815Y12313D1*
-D13*
-X33565D1*
-X34815D1*
-Y12688D1*
-X35190Y13063D1*
-X32565Y11000D2*
+X29940Y13000D1*
+X11715Y8687D2*
+D15*
+Y9159D1*
D14*
-X32630D1*
-X31627Y11250D2*
-X31646D1*
+Y9410D1*
+X11688Y9383D2*
+Y9375D1*
+X21376Y10813D2*
+Y10750D1*
+X21564Y16876D2*
+X25877D1*
+X26877Y17876D1*
+X28190D1*
+X28377Y18063D1*
+X29065D1*
+X29252Y17876D1*
+Y17251D1*
+X28877Y16876D1*
+X28127D1*
+X30440Y19751D2*
+Y19314D1*
+X30752Y19001D1*
+Y17813D1*
+X30565Y17626D1*
+X30315D1*
+X30065Y17876D1*
+X32764Y11409D2*
+Y11864D1*
+X32628Y12000D1*
+X31440D1*
+X31315Y11875D1*
+Y11438D1*
+X31690Y16251D2*
+X31752D1*
+X34628Y13375D1*
+X34753D1*
+X17559Y17409D2*
+D15*
+X16447D1*
+X15346D1*
+X13688Y15751D1*
+Y14688D1*
+X14938Y13438D1*
+Y13063D1*
+X31690Y14938D2*
+D14*
+X33315Y13313D1*
+Y13070D1*
+X33308Y13063D1*
+X17063Y10563D2*
+X17220Y10719D1*
+X11688Y10500D2*
+X11715D1*
+X17559Y17212D2*
+D15*
+X16644D1*
+X16447Y17409D1*
X23186Y5399D2*
-D16*
-Y7124D1*
-X23750Y7687D1*
-X18876Y9938D2*
-X22686D1*
-X23751D1*
-X26187Y9937D2*
-X26449D1*
-X27439Y10927D1*
-X27427Y10938D1*
+D13*
+X23189Y7375D1*
+X24911Y10688D2*
+X24877D1*
+Y11000D1*
+X24627Y11250D1*
+X24087Y11790D1*
+Y12188D1*
+X24627Y11675D2*
+Y11250D1*
+X18001Y10000D2*
+X20439D1*
+X20689Y9750D1*
+X23439D1*
+X24400Y10711D1*
+Y10688D1*
+X26761Y11765D2*
+X26752D1*
+Y10500D1*
+X26877D1*
+X26752Y7375D2*
+Y8822D1*
+X26761Y8813D1*
X25186Y5399D2*
-Y7124D1*
-X25750Y7687D1*
-X38657Y16556D2*
-X36196D1*
-X35119D1*
-X35000Y16437D1*
-X35502Y10500D2*
-X35690D1*
-X36190Y10000D1*
-Y9211D1*
-X36180Y9201D1*
-X31000Y15769D2*
-X32918D1*
-X33937Y14750D1*
-X35000D1*
-X32434Y11765D2*
-Y12246D1*
-X32813Y12625D1*
-X33502D1*
-X34375D1*
-X35375Y13625D1*
-X36175D1*
-X36196Y13603D1*
-X38657D1*
-X34190Y12625D2*
-X33502D1*
-X32237Y11765D2*
-Y12237D1*
-X32938Y12938D1*
-X33815D1*
-X34500D1*
-X35375Y13812D1*
-X36185D1*
-X36196Y13800D1*
-X38657D1*
-X33877Y12938D2*
-X33815D1*
-X32040Y11765D2*
-Y12227D1*
-X33063Y13250D1*
-X34065D1*
-X34625D1*
-X35372Y13997D1*
-X36196D1*
-X38657D1*
-X33565Y13250D2*
-X34065D1*
-X31843Y11765D2*
-Y12218D1*
-X33188Y13563D1*
-X34440D1*
-X34751D1*
-X35381Y14194D1*
-X36196D1*
-X38657D1*
-X33252Y13563D2*
-X34440D1*
-X31252Y11765D2*
-Y12684D1*
-X30562Y13375D1*
-Y13812D1*
-X30988D1*
-X31000Y13800D1*
-X31252Y11765D2*
-Y11097D1*
-X31350Y11000D1*
-X30662Y11765D2*
-Y12900D1*
-X30312Y13250D1*
-Y14000D1*
-X30500Y14187D1*
-X30993D1*
-X31000Y14194D1*
-X30662Y11765D2*
-Y11090D1*
-X30752Y11000D1*
-X30071Y11765D2*
-X30062D1*
-Y14125D1*
-X30500Y14562D1*
-X30974D1*
-X31000Y14588D1*
-X30071Y11765D2*
-Y11118D1*
-X30189Y11000D1*
-X30268Y11765D2*
-Y12625D1*
-Y13106D1*
-X30187Y13187D1*
-Y14062D1*
-X30500Y14375D1*
-X30983D1*
-X31000Y14391D1*
-X30314Y12625D2*
-X30268D1*
-X30859Y11765D2*
-Y12625D1*
-Y12890D1*
-X30437Y13312D1*
-Y13937D1*
-X30500Y14000D1*
-X30997D1*
-X31000Y13997D1*
-X30939Y12625D2*
-X30859D1*
-X31449Y11765D2*
-Y12625D1*
-Y12675D1*
-X31000Y13125D1*
-Y13603D1*
-X31502Y12625D2*
-X31449D1*
+Y7185D1*
+X25377Y7375D1*
+X31034Y16563D2*
+X30704D1*
+X28573D1*
+X31614Y11661D2*
+Y9864D1*
+X31732Y9746D1*
+X32390D1*
+X32508Y9628D1*
+Y9125D1*
+X30752Y16813D2*
+Y16612D1*
+X30704Y16563D1*
+X23377Y15776D2*
+X26965D1*
+X27377Y16188D1*
+X27502D1*
+X31034Y14004D2*
+X31499D1*
+X31690Y13813D1*
+Y13313D1*
+X31002Y12625D1*
+X30565D1*
+X30315Y12375D1*
+Y11776D1*
+X30305Y11765D1*
+X31034Y14004D2*
+X28573D1*
+X30752Y12625D2*
+X31002D1*
X33186Y5399D2*
-Y7191D1*
-X32434Y7943D1*
-Y8812D1*
-X38657Y16359D2*
-X36196D1*
-X35484D1*
-X35000Y15875D1*
-X31000Y16556D2*
-X32880D1*
-X33250Y16187D1*
-X34625D1*
-X31000Y16359D2*
-X32890D1*
-X33625Y15625D1*
-X34625D1*
-X31000Y16162D2*
-X32899D1*
-X33687Y15375D1*
-X35000D1*
-Y15312D1*
-X31000Y15966D2*
-X32909D1*
-X33812Y15062D1*
-X34625D1*
-X31000Y15375D2*
-X32937D1*
-X33875Y14437D1*
-X34562D1*
-X34625Y14500D1*
+Y6753D1*
+X31815Y8125D1*
+X30440D1*
+X30305Y8260D1*
+Y8813D1*
+X29440Y7625D2*
+X28927Y8138D1*
+Y8813D1*
+Y11765D2*
+Y10951D1*
+X28940Y10938D1*
+X23377Y16563D2*
+X26002D1*
+X27002Y17563D1*
+X30690Y7625D2*
+X30565Y7750D1*
+X29940D1*
+X29517Y8173D1*
+Y8813D1*
+Y11765D2*
+Y11048D1*
+X29627Y10938D1*
+X23377Y16366D2*
+X26368D1*
+X27314Y17313D1*
+X32002Y7562D2*
+X31877D1*
+X31440Y8000D1*
+X30315D1*
+X30108Y8207D1*
+Y8813D1*
+Y11765D2*
+Y10707D1*
+X30190Y10625D1*
+X23377Y16170D2*
+X26858D1*
+X27377Y16688D1*
+X27439D1*
+X31034Y13807D2*
+X31508D1*
+X31565Y13751D1*
+Y13438D1*
+X31002Y12875D1*
+X30627D1*
+X30252D1*
+X29690Y12313D1*
+Y11790D1*
+X29714Y11765D1*
+X31034Y13807D2*
+X28573D1*
+X30502Y12875D2*
+X30627D1*
+X32753Y7562D2*
+X32628D1*
+X31940Y8250D1*
+X30752D1*
+X30698Y8304D1*
+Y8813D1*
+Y11765D2*
+Y11117D1*
+X30815Y11000D1*
+X23377Y15973D2*
+X26911D1*
+X27377Y16438D1*
+X27752D1*
+X23377Y15382D2*
+X26821D1*
+X27377Y15938D1*
+X27877D1*
+X23377Y14989D2*
+X26677D1*
+X27377Y15688D1*
+X27564D1*
X32186Y5399D2*
-X32250D1*
-Y8799D1*
-X32237Y8812D1*
-X31000Y14784D2*
-X32902D1*
-X33812Y13875D1*
-X34562D1*
-X34625Y13937D1*
-X31000Y14981D2*
-X32893D1*
-X33687Y14187D1*
-X35000D1*
+Y6753D1*
+X31065Y7875D1*
+X30065D1*
+X29690Y8250D1*
+Y8813D1*
+X29714D1*
+X31034Y16366D2*
+X28573D1*
+X28199D1*
+X27815Y16751D1*
+Y17001D1*
+X28565Y17751D1*
+X28752D1*
+X28573Y14201D2*
+X31034D1*
+X31002Y12375D2*
+X31815Y13188D1*
+Y13876D1*
+X31489Y14201D1*
+X31034D1*
+Y13611D2*
+X30440D1*
+Y13375D1*
+X29752D1*
+X29127Y12750D1*
+Y11769D1*
+X29123Y11765D1*
+X31034Y13611D2*
+X28573D1*
+X30440Y13375D2*
+D3*
X31186Y5399D2*
-Y7061D1*
-X32062Y7937D1*
-Y8790D1*
-X32040Y8812D1*
+Y6753D1*
+X30998Y6942D1*
+X30498D1*
+X29123Y8316D1*
+Y8813D1*
+X28730Y11765D2*
+Y12710D1*
+X28377Y13063D1*
+X27829Y13611D1*
+X23377D1*
+X28190Y13250D2*
+X28377Y13063D1*
X30186Y5399D2*
-Y6749D1*
-X30250Y6812D1*
-X30625D1*
-X31812Y7999D1*
-Y8781D1*
-X31843Y8812D1*
+Y6753D1*
+X30002Y6937D1*
+X29752D1*
+X28752Y7937D1*
+Y8813D1*
+X28730D1*
+X28139Y11765D2*
+Y12551D1*
+X27502Y13188D1*
+X23002D1*
+X22751Y13438D1*
+Y13813D1*
+X22943Y14004D1*
+X23377D1*
+X27381D1*
+X27502Y14126D1*
+X27940D1*
X29186Y5399D2*
-Y6811D1*
-X29437Y7062D1*
-X30000D1*
-X31250Y8312D1*
-Y8562D1*
-X31270Y8583D1*
-Y8794D1*
-X31252Y8812D1*
+Y6753D1*
+X28139Y7800D1*
+Y8813D1*
+X27549Y11765D2*
+Y12391D1*
+X27002Y12938D1*
+X22876D1*
+X22501Y13313D1*
+Y14063D1*
+X22836Y14398D1*
+X23377D1*
+X27274D1*
+X27502Y14626D1*
+X28002D1*
X28186Y5399D2*
-Y6749D1*
-X29125Y7687D1*
-X29937D1*
-X30687Y8437D1*
-Y8812D1*
-X30662D1*
+Y6753D1*
+X27564Y7375D1*
+Y8813D1*
+X27549D1*
+X26958Y11765D2*
+Y12232D1*
+X26502Y12688D1*
+X22751D1*
+X22251Y13188D1*
+Y14313D1*
+X22751Y14813D1*
+X23398D1*
+X23377Y14792D1*
+X26730D1*
+X27377Y15438D1*
+X27877D1*
X27186Y5399D2*
-Y6686D1*
-X28687Y8187D1*
-X29875D1*
-X30062Y8375D1*
-Y8803D1*
-X30071Y8812D1*
-X28312Y7312D2*
-X29000Y8000D1*
-X29937D1*
-X30250Y8312D1*
-Y8812D1*
-X30268D1*
-X29187Y7312D2*
-X29750D1*
-X30870Y8433D1*
-Y8801D1*
-X30859Y8812D1*
-X31449D2*
-X31437D1*
-Y8125D1*
-X30375Y7062D1*
-X38657Y14391D2*
-X36196D1*
-X38657D2*
-X39050D1*
-X39128Y14313D1*
-Y13501D1*
-X39003Y13375D1*
-X37878D1*
-X36690Y12188D1*
-Y11486D1*
-X36692Y11485D1*
-X34186Y5399D2*
-Y6934D1*
-X34940Y7687D1*
-X35377D1*
-X36427Y8737D1*
-Y9210D1*
-X36436Y9201D1*
-X38657Y14784D2*
-X36196D1*
-X38657D2*
-X39031D1*
-X39378Y14438D1*
-Y13375D1*
-X39128Y13125D1*
-X38253D1*
-X37204Y12077D1*
-Y11485D1*
-X35186Y5399D2*
-Y6996D1*
-X36940Y8750D1*
-Y9193D1*
-X36948Y9201D1*
-X38657Y15375D2*
-X36196D1*
-X38657D2*
-X39066D1*
-X39628Y14813D1*
-Y13250D1*
-X39253Y12875D1*
-X38565D1*
-X37716Y12026D1*
-Y11485D1*
-X36186Y5399D2*
-Y7183D1*
-X37455Y8453D1*
-Y9197D1*
-X37460Y9201D1*
-X38657Y15769D2*
-X36196D1*
-X38657D2*
-X39047D1*
-X39878Y14938D1*
-Y13125D1*
-X39378Y12625D1*
-X38815D1*
-X38253Y12063D1*
-Y11510D1*
-X38227Y11485D1*
-X37186Y5399D2*
-Y7308D1*
-X37971Y8094D1*
-Y9201D1*
-X38657Y15966D2*
-X36196D1*
-X38657D2*
-X39038D1*
-X40003Y15001D1*
+Y7315D1*
+X26939Y7562D1*
+Y8813D1*
+X26958D1*
+X27352Y11765D2*
+Y12213D1*
+X26752Y12813D1*
+X22814D1*
+X22376Y13250D1*
+Y14188D1*
+X22783Y14595D1*
+X23377D1*
+X26784D1*
+X27377Y15188D1*
+X27564D1*
+X27314Y7625D2*
+X27352D1*
+Y8813D1*
+X27942Y11765D2*
+Y12373D1*
+X27252Y13063D1*
+X22939D1*
+X22626Y13375D1*
+Y13938D1*
+X22890Y14201D1*
+X23377D1*
+X27328D1*
+X27502Y14376D1*
+X27627D1*
+X27940Y7625D2*
+X27942Y7628D1*
+Y8813D1*
+X28533Y11765D2*
+Y12532D1*
+X27752Y13313D1*
+X23064D1*
+X22876Y13501D1*
+Y13688D1*
+X22996Y13807D1*
+X23377D1*
+X27559D1*
+X27627Y13876D1*
+X28690Y7625D2*
+X28533Y7782D1*
+Y8813D1*
+X31034Y14398D2*
+X31480D1*
+X32190Y13688D1*
+Y12938D1*
+X33020Y12108D1*
+Y11409D1*
+X31034Y14398D2*
+X28573D1*
+X32764Y9125D2*
+Y8613D1*
+X34190Y7187D1*
+Y5403D1*
+X34186Y5399D1*
+X31034Y14792D2*
+X31461D1*
+X32440Y13813D1*
Y13063D1*
-X39440Y12500D1*
-X38940D1*
-X38503Y12063D1*
-Y11504D1*
-X38483Y11485D1*
-X37687Y7437D2*
-Y7497D1*
-X38227Y8037D1*
-Y9201D1*
-X38657Y15572D2*
-X36196D1*
-X38657D2*
-X39057D1*
-X39753Y14876D1*
-Y13188D1*
-X39315Y12750D1*
-X38690D1*
-X37965Y12025D1*
-Y11491D1*
-X37971Y11485D1*
-X36812Y7437D2*
-X36940D1*
-X37716Y8213D1*
-Y9201D1*
-X38657Y15178D2*
-X36196D1*
-X38657D2*
-X39075D1*
-X39503Y14751D1*
-Y13313D1*
-X39190Y13000D1*
-X38440D1*
-X37440Y12000D1*
-Y11504D1*
-X37460Y11485D1*
-X36000Y7437D2*
-X37211Y8649D1*
-Y9208D1*
-X37204Y9201D1*
-X38657Y14588D2*
-X36196D1*
-X38657D2*
-X39041D1*
-X39253Y14376D1*
-Y13438D1*
-X39065Y13250D1*
-X38065D1*
-X36940Y12125D1*
-Y11492D1*
-X36948Y11485D1*
-X35125Y7437D2*
-X35377D1*
-X36690Y8750D1*
-Y9199D1*
-X36692Y9201D1*
-X25425Y10364D2*
-X25751D1*
-X26325Y10938D1*
-X25435Y11436D2*
-X25827D1*
-X26325Y10938D1*
-Y11200D1*
-X28312Y13187D1*
-X29375D1*
-X31449Y13030D2*
-X32092D1*
-X32750Y13687D1*
-X20812Y12125D2*
-X21500D1*
-X21937Y12562D1*
-X23812D1*
-X23875Y12500D1*
-X20437Y11812D2*
-X21375D1*
-X21812Y12250D1*
-X23500D1*
-X20062Y11500D2*
-X21250D1*
-X21750Y12000D1*
-X23875D1*
-D39*
-X11250Y7749D3*
+X33532Y11971D1*
+Y11409D1*
+X31034Y14792D2*
+X28573D1*
+X33276Y9125D2*
+X33253D1*
+Y8625D1*
+X34131Y7746D1*
+X34694D1*
+X35186Y7254D1*
+Y5399D1*
+X31034Y15382D2*
+X31808D1*
+X34044Y13147D1*
+Y11409D1*
+X31034Y15382D2*
+X28573D1*
+X33788Y9125D2*
+X33815D1*
+Y8625D1*
+X34440Y8000D1*
+X35378D1*
+X36186Y7191D1*
+Y5399D1*
+X31034Y15776D2*
+X31790D1*
+X34556Y13010D1*
+Y11409D1*
+X31034Y15776D2*
+X28573D1*
+X37186Y5399D2*
+Y7066D1*
+X36003Y8250D1*
+X34690D1*
+X34300Y8640D1*
+Y9125D1*
+X31034Y15973D2*
+X31780D1*
+X34812Y12942D1*
+Y11409D1*
+X31034Y15973D2*
+X28573D1*
+X37566Y7500D2*
+X37128D1*
+X36253Y8375D1*
+X34815D1*
+X34556Y8635D1*
+Y9125D1*
+X31034Y15579D2*
+X31799D1*
+X34300Y13078D1*
+Y11409D1*
+X31034Y15579D2*
+X28573D1*
+X34044Y9125D2*
+Y8646D1*
+X34565Y8125D1*
+X35690D1*
+X36315Y7500D1*
+X31034Y15185D2*
+X31818D1*
+X33788Y13215D1*
+Y11409D1*
+X31034Y15185D2*
+X28573D1*
+X33532Y9125D2*
+X33565D1*
+Y8625D1*
+X34315Y7875D1*
+X34878D1*
+X35253Y7500D1*
+X35440D1*
+X31034Y14595D2*
+X31471D1*
+X32315Y13751D1*
+Y13000D1*
+X33276Y12039D1*
+Y11409D1*
+X31034Y14595D2*
+X28573D1*
+X33020Y9125D2*
+Y8607D1*
+X34128Y7500D1*
+X34565D1*
+X24911Y10063D2*
+Y10090D1*
+X24939Y10063D1*
+X25252D1*
+Y12188D1*
+X25189D1*
+Y12438D1*
+X25689D1*
+X24911Y9500D2*
+Y10063D1*
+X18001Y10750D2*
+X18063D1*
+X18314Y10500D1*
+X20751D1*
+X20814Y10438D1*
+X17876Y10375D2*
+X18126D1*
+X18376Y10125D1*
+X21876D1*
+D37*
+X25689Y12438D3*
+X11188Y7937D3*
X38187Y7437D3*
-X6499Y16251D3*
-X14562Y10562D3*
-X16687D3*
-X14562Y9937D3*
-X16687D3*
-X31062Y9375D3*
-Y10000D3*
-X33250D3*
-Y9375D3*
-X28312Y7312D3*
-X29187D3*
-X30375Y7062D3*
-X22560Y16250D3*
-Y15875D3*
-Y15500D3*
-Y15125D3*
-Y14750D3*
-Y14375D3*
-Y14000D3*
-Y13625D3*
-X24876Y22126D3*
-X21876Y21814D3*
-X21000Y12500D3*
-X20250Y15062D3*
-X18313Y19939D3*
-X8750Y7312D3*
-X21251Y13501D3*
-X28812Y11062D3*
-X17750Y12875D3*
-X18500Y12812D3*
-X13437Y16312D3*
-X13812Y16125D3*
-X14187Y15937D3*
-X14562Y15750D3*
-X14937Y15562D3*
-X15312Y15375D3*
-X15687Y15187D3*
-X16062Y15000D3*
-X16437Y14812D3*
-X16812Y14625D3*
-X9750Y12375D3*
-X13062Y16500D3*
-X18001Y15688D3*
-X12750Y12563D3*
-X13562Y12875D3*
-X14062Y12750D3*
-X14375Y12437D3*
-X14687Y12750D3*
-X15000Y12437D3*
-X15312Y12750D3*
-X15625Y12437D3*
-X15875Y12875D3*
-X16312Y12500D3*
-X16625Y12750D3*
-X12812Y14438D3*
-X35502Y9375D3*
-X35125Y7437D3*
-X36000D3*
-X36812D3*
-X37687D3*
-X24189Y16001D3*
-Y15626D3*
-X24501Y15376D3*
-X24189Y15126D3*
-X33252Y13563D3*
-X24501Y14876D3*
-X24189Y14626D3*
-X24501Y14376D3*
-X24189Y14126D3*
-X9437Y18251D3*
-Y17126D3*
-X16938Y19689D3*
-X17313D3*
-X19251Y19751D3*
-X19626Y19689D3*
-X20001Y19564D3*
-X20376D3*
-X20751Y19439D3*
-X21063Y19189D3*
-X20751Y18939D3*
-X21063Y18689D3*
-X16562Y19687D3*
-X20751Y18439D3*
-X21063Y18189D3*
-X20812Y12125D3*
-X20437Y11812D3*
-X20062Y11500D3*
-X19625Y11062D3*
-X19312Y10750D3*
-X19000Y10437D3*
-X12437Y11500D3*
-X12750Y11187D3*
-X13062Y10875D3*
-X23875Y12500D3*
-X23500Y12250D3*
-X23875Y12000D3*
-X23500Y11750D3*
-X23875Y11500D3*
-X23500Y11250D3*
-X18876Y9938D3*
-X18438Y9563D3*
-X18188Y9250D3*
-X15125Y19937D3*
-X15437Y19687D3*
-X15750Y19937D3*
-X16062Y19687D3*
-X14812D3*
-X14500Y19937D3*
-X23751Y9938D3*
-X23439Y9563D3*
-X23126Y9188D3*
-X13875Y19937D3*
-X14187Y19687D3*
-X13562D3*
-X9312Y12125D3*
-X33565Y13250D3*
-X35815Y12063D3*
-X33877Y12938D3*
-X34190Y12625D3*
-X23001Y13501D3*
-X28187Y10500D3*
-X20375Y13562D3*
-X20955Y12880D3*
-X23312Y7687D3*
-X23750D3*
-X25750D3*
-X26625D3*
-X23001Y12813D3*
-X27437Y19187D3*
-X28812Y18687D3*
-X26187Y9937D3*
-X34625Y13937D3*
-X35000Y14187D3*
-X34625Y14500D3*
-X35000Y14750D3*
-X34625Y15062D3*
-X35000Y15312D3*
-X34625Y15625D3*
-X35000Y15875D3*
-X34625Y16187D3*
-X35000Y16437D3*
-X35502Y10500D3*
-X12812Y15438D3*
-X17313Y12250D3*
-X18626Y12500D3*
-X20251Y12938D3*
-X20750Y17687D3*
-X20437Y17437D3*
-X20438Y17938D3*
-X32750Y13687D3*
-X10000Y13563D3*
-Y16063D3*
-X12750Y19189D3*
-X14375Y21439D3*
-X29375Y13187D3*
-X31449Y13030D3*
-X33687Y17375D3*
-X30383Y17055D3*
-X33279Y17743D3*
-X26705Y15555D3*
-X26665Y13056D3*
-X29375Y12562D3*
-X31250Y13312D3*
-X29810Y16021D3*
-X29789Y13478D3*
-X30314Y12625D3*
-X30939D3*
-X31502D3*
-X30189Y11000D3*
-X30752D3*
-X31350D3*
-X8562Y15001D3*
-X8625Y11875D3*
-X7249Y15626D3*
-Y12438D3*
-X17063Y12938D3*
-X17188Y16188D3*
-Y15001D3*
-X18563Y15376D3*
-X22188Y16251D3*
-X19813Y18126D3*
-X23251Y19376D3*
-X26126Y17813D3*
-X28189Y21439D3*
-X30627Y20439D3*
-X31564D3*
-X32627Y20376D3*
-X33190Y19564D3*
-X31940Y17813D3*
-X38878Y22439D3*
-X42566Y18626D3*
-X33190Y7937D3*
-X38628Y7250D3*
-X33690Y10500D3*
-X35065D3*
-X35190Y13063D3*
-X33565Y12313D3*
-X29752Y14438D3*
-X31314Y16938D3*
-X24001Y13188D3*
-X34940Y8813D3*
-X10687Y7750D3*
-X28377Y18626D3*
-X35315Y14501D3*
-X28252Y15001D3*
-X31564Y14501D3*
-X28627Y12563D3*
-X26251Y12188D3*
-X24751Y11188D3*
-X17063Y10313D3*
-X18376Y10438D3*
-X12938Y9500D3*
-X13188Y8250D3*
-X9750Y7312D3*
-X8312Y8750D3*
-X23939Y8375D3*
-X25189Y8562D3*
-X23376Y10750D3*
-X4562Y18376D3*
-X8687Y22564D3*
-X37128Y13188D3*
-X13000Y22564D3*
-X9187Y18814D3*
-X11125Y20626D3*
-X8500Y20876D3*
-X24439Y18689D3*
-X25314Y18501D3*
-X26689Y14188D3*
-X27189Y7250D3*
-X29627Y10188D3*
-Y8562D3*
-X18688Y8062D3*
-X19688Y7875D3*
-Y8813D3*
-X20688Y7812D3*
-Y8813D3*
-X24564Y12188D3*
-X34565Y11438D3*
-X4562Y8813D3*
-Y10188D3*
-Y12250D3*
-Y15313D3*
-X5937Y13501D3*
-X5874Y16813D3*
-X11250Y22564D3*
-X11687Y12125D3*
-X10875Y10875D3*
-X11000Y9438D3*
-X24251Y7250D3*
-X22564Y7312D3*
-X8875Y10125D3*
-X30377Y10625D3*
-X39128Y8750D3*
-X42941D3*
-Y10250D3*
-X41315Y10313D3*
-Y12188D3*
-X42941D3*
-Y17001D3*
-X39128Y11938D3*
-X40753Y20501D3*
-X40315Y16438D3*
-X41941Y14313D3*
-X35690Y17813D3*
-X34002Y22126D3*
-X37565D3*
-X34377Y19814D3*
-X36252Y19876D3*
-X38565Y17688D3*
-X40003Y19689D3*
-X6624Y20626D3*
-X37065Y9875D3*
-X38253D3*
-X26689Y16501D3*
-X27001Y17376D3*
-X30627Y19439D3*
-X31564D3*
-X21626Y10375D3*
-X28502Y8813D3*
-X20751Y13375D3*
+X6750Y15126D3*
+X12313Y10313D3*
+X14438D3*
+X12313Y9688D3*
+X14438D3*
+X27752Y9500D3*
+Y10125D3*
+X29877D3*
+Y9500D3*
+X27314Y7625D3*
+X27940D3*
+X28690D3*
+X19876Y8250D3*
+X19439Y12563D3*
+X14751Y14501D3*
+X18001Y10750D3*
+X19189Y13250D3*
+X19689Y12313D3*
+X25689Y18376D3*
+X21876Y10125D3*
+X17876Y10375D3*
+X20814Y10438D3*
+X19189Y13876D3*
+X18439Y14188D3*
+X18753Y14642D3*
+X18439Y15063D3*
+Y15501D3*
+X18376Y15938D3*
+X11500Y12813D3*
+X11875Y12688D3*
+X12188Y12938D3*
+X12500Y12688D3*
+X12313Y14188D3*
+X13125Y12688D3*
+X13438Y12938D3*
+X13938D3*
+X14376Y13000D3*
+X14626Y13313D3*
+X20501Y10750D3*
+X13751Y13563D3*
+X12938Y13813D3*
+X12813Y12938D3*
+X11125Y12688D3*
+X12625Y14001D3*
+X12000Y14376D3*
+X12438Y17001D3*
+X12063D3*
+X11688D3*
+X11313Y16876D3*
+X16063Y10313D3*
X17751Y9625D3*
-X32565Y11000D3*
-X29814Y19751D3*
-X18563Y18251D3*
-X21688Y7312D3*
-X23064Y8688D3*
-X21626Y8938D3*
-X29939Y16751D3*
-X27627Y16626D3*
-X27564Y13501D3*
-X6874Y18751D3*
-X28752Y13501D3*
-X29127Y15126D3*
-X31627Y11250D3*
-X8327Y22440D2*
+X16376Y7437D3*
+X15938Y7937D3*
+X18814Y16438D3*
+X32002Y9500D3*
+X34565Y7500D3*
+X35440D3*
+X36315D3*
+X37566D3*
+X18376Y16813D3*
+X23877Y18001D3*
+X24127Y18314D3*
+X14313Y14501D3*
+X26877Y10500D3*
+X28940Y10938D3*
+X13751Y7750D3*
+X14251Y7812D3*
+X20251Y9750D3*
+X15001Y14188D3*
+X8312Y17876D3*
+X20001Y12063D3*
+X19564Y11438D3*
+X16688Y13688D3*
+X29627Y10938D3*
+X30190Y10625D3*
+X24627Y11675D3*
+X30815Y11000D3*
+X31002Y12375D3*
+X30752Y12625D3*
+X30502Y12875D3*
+X30440Y13375D3*
+X28190Y13250D3*
+X18501Y12563D3*
+X26752Y7375D3*
+X29440Y7625D3*
+X30690D3*
+X32002Y7562D3*
+X32753D3*
+X21626Y18314D3*
+X22126D3*
+X22626D3*
+X27627Y13876D3*
+X27940Y14126D3*
+X27627Y14376D3*
+X28002Y14626D3*
+X27564Y15188D3*
+X27877Y15438D3*
+X18001Y10000D3*
+X15001Y8062D3*
+X15376D3*
+X24689Y18314D3*
+X24439Y18001D3*
+X27564Y15688D3*
+X27877Y15938D3*
+X24939Y18001D3*
+X25189Y18314D3*
+X27502Y16188D3*
+X27752Y16438D3*
+X27439Y16688D3*
+X23377Y18001D3*
+X23627Y18314D3*
+X23127D3*
+X8688Y16313D3*
+X27314Y17313D3*
+X32002Y10125D3*
+X27002Y17563D3*
+X31690Y14938D3*
+X10375Y18439D3*
+X28752Y17751D3*
+X29940Y13000D3*
+X26314Y11688D3*
+X22814Y7375D3*
+X23189D3*
+X25377D3*
+X25877D3*
+X22626Y15188D3*
+X21564Y15563D3*
+X21376Y10813D3*
+X22876Y12188D3*
+X19939Y17063D3*
+X21564Y16876D3*
+X23627Y10375D3*
+X43004Y8688D3*
+X31690Y16251D3*
+X36565Y12563D3*
+X38128D3*
+X19501Y10875D3*
+X23189Y20064D3*
+X30315Y18126D3*
+Y18564D3*
+Y19001D3*
+X15751Y13375D3*
+X21376Y7250D3*
+X21939Y7625D3*
+X22376Y18001D3*
+X22876D3*
+X21876D3*
+X35190Y8875D3*
+X37316Y7937D3*
+X32628Y8187D3*
+X31377Y8813D3*
+X27127Y9813D3*
+X25803Y9919D3*
+X17938Y8437D3*
+X30065Y16813D3*
+X15938Y8375D3*
+X18439Y13438D3*
+X17001Y7625D3*
+X17126Y9125D3*
+X15688Y9375D3*
+X11688D3*
+X9750Y7375D3*
+X8750D3*
+X17063Y10563D3*
+X13375Y10500D3*
+X15251D3*
+X7187Y13375D3*
+X31315Y11438D3*
+X30627Y10500D3*
+X29252Y11125D3*
+X28127Y16876D3*
+X11438Y19501D3*
+X16376Y14126D3*
+X9750Y12125D3*
+X13375Y9375D3*
+X13438Y18939D3*
+X28815Y13125D3*
+X23689Y7375D3*
+X24439D3*
+X4375Y14563D3*
+Y8688D3*
+X6500D3*
+X6562Y16688D3*
+X30440Y19751D3*
+X40316Y12875D3*
+X30065Y17876D3*
+X43004Y13313D3*
+X7937Y18063D3*
+X34753Y13375D3*
+X8750Y8312D3*
+X9625Y9375D3*
+X11063Y8312D3*
+X11688Y10500D3*
+X29002Y19376D3*
+X29752D3*
+X31752Y12688D3*
+X8250Y12813D3*
+X10438Y7375D3*
+X38628Y7250D3*
+X4375Y10313D3*
+Y12125D3*
+X43004D3*
+Y10313D3*
+X14938Y13063D3*
+X14688Y18939D3*
+X32753Y9938D3*
+X19501Y8125D3*
+X19126Y8000D3*
+X18751Y7937D3*
+X18376D3*
+X18001D3*
+X20626Y8500D3*
+X20251Y8375D3*
+X31614Y11661D3*
+X30752Y16813D3*
+X26503Y8033D3*
+X24948Y20565D2*
D17*
-X24601D1*
-X25155D2*
-X39050D1*
-X8077Y22192D2*
-X21710D1*
-X22042D2*
-X24453D1*
-X25299D2*
-X39300D1*
-X7831Y21943D2*
-X21468D1*
-X22284D2*
-X24492D1*
-X25260D2*
-X39546D1*
-X7581Y21694D2*
-X21468D1*
-X22284D2*
-X24507D1*
-X25245D2*
-X39796D1*
-X7335Y21446D2*
-X21507D1*
-X22245D2*
-X24507D1*
-X25245D2*
-X29699D1*
-X30549D2*
-X30699D1*
-X31549D2*
-X31699D1*
-X32549D2*
-X32699D1*
-X33549D2*
-X40042D1*
-X7085Y21197D2*
-X21507D1*
-X22245D2*
-X24507D1*
-X25245D2*
-X29535D1*
-X33717D2*
-X40292D1*
-X6835Y20948D2*
-X21507D1*
-X22245D2*
-X24507D1*
-X25245D2*
-X29503D1*
-X33749D2*
-X40542D1*
-X6589Y20699D2*
-X21507D1*
-X22245D2*
-X24507D1*
-X25245D2*
-X29582D1*
-X33667D2*
-X40789D1*
-X6339Y20451D2*
-X21507D1*
-X22245D2*
-X24507D1*
-X25245D2*
-X29859D1*
-X30389D2*
-X30859D1*
-X31389D2*
-X31859D1*
-X32389D2*
-X32859D1*
-X33389D2*
-X41039D1*
-X6089Y20202D2*
-X13546D1*
-X16077D2*
-X21507D1*
-X22245D2*
-X24507D1*
-X25245D2*
-X41289D1*
-X5842Y19953D2*
-X13234D1*
-X17639D2*
-X18878D1*
-X20503D2*
-X21507D1*
-X22245D2*
-X24507D1*
-X25245D2*
-X41535D1*
-X5592Y19705D2*
-X7605D1*
-X9049D2*
-X13136D1*
-X21077D2*
-X21507D1*
-X22245D2*
-X24507D1*
-X25245D2*
-X41785D1*
-X5342Y19456D2*
-X7605D1*
-X9120D2*
-X13207D1*
-X22245D2*
-X24507D1*
-X25245D2*
-X27113D1*
-X28456D2*
-X42035D1*
-X5096Y19207D2*
-X6914D1*
-X9120D2*
-X13242D1*
-X22245D2*
-X24507D1*
-X25245D2*
-X27011D1*
-X28733D2*
-X42281D1*
-X4846Y18959D2*
-X6914D1*
-X9120D2*
-X13242D1*
-X22245D2*
-X24507D1*
-X25245D2*
-X27082D1*
-X29135D2*
-X42531D1*
-X4596Y18710D2*
-X6914D1*
-X9120D2*
-X13242D1*
-X22245D2*
-X24507D1*
-X25245D2*
-X28347D1*
-X29241D2*
-X42781D1*
-X4350Y18461D2*
-X6914D1*
-X9807D2*
-X10894D1*
-X22245D2*
-X24507D1*
-X25245D2*
-X28453D1*
+X26391D1*
+X25198Y20316D2*
+X26391D1*
+X25444Y20068D2*
+X26508D1*
+X25694Y19819D2*
+X30476D1*
+X10894Y19570D2*
+X12254D1*
+X25944D2*
+X30476D1*
+X10644Y19322D2*
+X12004D1*
+X10394Y19073D2*
+X11758D1*
+X10148Y18824D2*
+X11508D1*
+X13327D2*
+X14570D1*
+X30702D2*
+X30851D1*
+X9898Y18576D2*
+X11258D1*
+X13077D2*
+X14320D1*
+X30741D2*
+X31101D1*
+X9648Y18327D2*
+X11012D1*
+X12831D2*
+X14074D1*
+X30691D2*
+X31351D1*
+X12581Y18078D2*
+X13824D1*
+X30741D2*
+X31598D1*
+X7593Y17830D2*
+X7887D1*
+X12331D2*
+X13574D1*
+X15394D2*
+X16680D1*
+X26155D2*
+X26676D1*
+X27327D2*
+X28203D1*
X29171D2*
-X43027D1*
-X4337Y18213D2*
-X6914D1*
-X9862D2*
-X10648D1*
-X22245D2*
-X24507D1*
-X25245D2*
-X42023D1*
-X4337Y17964D2*
-X8382D1*
-X9807D2*
-X10554D1*
-X18319D2*
-X18554D1*
-X22245D2*
-X24507D1*
-X25245D2*
-X42023D1*
-X4337Y17715D2*
-X8382D1*
-X9807D2*
-X10554D1*
-X18319D2*
-X18554D1*
-X21174D2*
-X21507D1*
-X22245D2*
-X24507D1*
-X25245D2*
-X30648D1*
-X33924D2*
-X42023D1*
-X4337Y17467D2*
-X6167D1*
-X9807D2*
-X10554D1*
-X17221D2*
-X17429D1*
-X18319D2*
-X18554D1*
-X21112D2*
-X21507D1*
-X22245D2*
-X24507D1*
-X25245D2*
-X30300D1*
-X34104D2*
-X42023D1*
-X4337Y17218D2*
-X6132D1*
-X9854D2*
-X10554D1*
-X17132D2*
-X17429D1*
-X18319D2*
-X18554D1*
-X20799D2*
-X21507D1*
-X22245D2*
-X24507D1*
-X25245D2*
-X29992D1*
-X34085D2*
-X42023D1*
-X4337Y16969D2*
-X6132D1*
-X6870D2*
-X7367D1*
-X9835D2*
-X10554D1*
-X17132D2*
-X17429D1*
-X20315D2*
-X21507D1*
-X22245D2*
-X24507D1*
-X25245D2*
-X29964D1*
-X30823D2*
-X43042D1*
-X4337Y16720D2*
-X6132D1*
-X6870D2*
-X7367D1*
-X9225D2*
-X10554D1*
-X17132D2*
-X17429D1*
-X20659D2*
-X21507D1*
-X22245D2*
-X24507D1*
-X25245D2*
-X29843D1*
-X33159D2*
-X34687D1*
-X39260D2*
-X43042D1*
-X4337Y16472D2*
-X6132D1*
-X6870D2*
-X7367D1*
-X9225D2*
-X10554D1*
-X17132D2*
-X17429D1*
-X22921D2*
-X24507D1*
-X25245D2*
-X29843D1*
-X39260D2*
-X43042D1*
-X4337Y16223D2*
-X6074D1*
-X6924D2*
-X7367D1*
-X9409D2*
-X10554D1*
-X17132D2*
-X17429D1*
-X18319D2*
-X18554D1*
-X25245D2*
-X29843D1*
-X39260D2*
-X43042D1*
-X4337Y15974D2*
-X6183D1*
-X6819D2*
-X7367D1*
-X9553D2*
-X10554D1*
-X17132D2*
-X17429D1*
-X18319D2*
-X18554D1*
-X25245D2*
-X29843D1*
-X39471D2*
-X43042D1*
-X4337Y15726D2*
-X7367D1*
-X9553D2*
-X10558D1*
-X17132D2*
-X17429D1*
-X18424D2*
-X18554D1*
-X25245D2*
-X30007D1*
-X35397D2*
-X35593D1*
-X39717D2*
-X43042D1*
-X4337Y15477D2*
-X7472D1*
-X8210D2*
-X8816D1*
-X9553D2*
-X10707D1*
-X12757D2*
-X13847D1*
-X17132D2*
-X17429D1*
-X18370D2*
-X18554D1*
-X25245D2*
-X30007D1*
-X35393D2*
-X35593D1*
-X39967D2*
-X43042D1*
-X4337Y15228D2*
-X7472D1*
-X8210D2*
-X8816D1*
-X9553D2*
-X10558D1*
-X12757D2*
-X13601D1*
-X17132D2*
-X17429D1*
-X18319D2*
-X18554D1*
-X25245D2*
-X30007D1*
-X35417D2*
-X35593D1*
-X40217D2*
-X43042D1*
-X4337Y14980D2*
-X7472D1*
-X8210D2*
-X8816D1*
-X9553D2*
-X10308D1*
-X12757D2*
-X13351D1*
-X17132D2*
-X17429D1*
-X18319D2*
-X18554D1*
-X25245D2*
-X30070D1*
-X35354D2*
-X35593D1*
-X40323D2*
-X43042D1*
-X4337Y14731D2*
-X7472D1*
-X8210D2*
-X8816D1*
-X9553D2*
-X10214D1*
-X12757D2*
-X13101D1*
-X17225D2*
-X17429D1*
-X18319D2*
-X18554D1*
-X25245D2*
-X30226D1*
-X35428D2*
-X35593D1*
-X40323D2*
-X43042D1*
-X4337Y14482D2*
-X7472D1*
-X8210D2*
-X8816D1*
-X9553D2*
-X10214D1*
-X17214D2*
-X17429D1*
-X18319D2*
-X18554D1*
-X25245D2*
-X29980D1*
-X31604D2*
-X32761D1*
-X40323D2*
-X43042D1*
-X4337Y14234D2*
-X7472D1*
-X8210D2*
-X8816D1*
-X9553D2*
-X10214D1*
-X14612D2*
-X16691D1*
-X16931D2*
-X17429D1*
-X18319D2*
-X18554D1*
-X25245D2*
-X29765D1*
-X31604D2*
-X33011D1*
-X40323D2*
-X43042D1*
-X4337Y13985D2*
-X7472D1*
-X8210D2*
-X8816D1*
-X9553D2*
-X10214D1*
-X14362D2*
-X17429D1*
-X18319D2*
-X18554D1*
-X25178D2*
-X29742D1*
-X31604D2*
-X32453D1*
-X33046D2*
-X33261D1*
-X40323D2*
-X43042D1*
-X4337Y13736D2*
-X7472D1*
-X8210D2*
-X8816D1*
-X9553D2*
-X10214D1*
-X14116D2*
-X17429D1*
-X18319D2*
-X18554D1*
-X24967D2*
-X29742D1*
-X31604D2*
-X32324D1*
-X40323D2*
-X43042D1*
-X4337Y13488D2*
-X7472D1*
-X8210D2*
-X8816D1*
-X9553D2*
-X10214D1*
-X13866D2*
-X17429D1*
-X18319D2*
-X18554D1*
-X24967D2*
-X29082D1*
-X31604D2*
-X32109D1*
-X40323D2*
-X43042D1*
-X4337Y13239D2*
-X7472D1*
-X8210D2*
-X8816D1*
-X9553D2*
-X10214D1*
-X13757D2*
-X15679D1*
-X16069D2*
-X17429D1*
-X18319D2*
-X18554D1*
-X23331D2*
-X27921D1*
-X35428D2*
-X37300D1*
-X40323D2*
-X43042D1*
-X4337Y12990D2*
-X7472D1*
-X8210D2*
-X8816D1*
-X9553D2*
-X10214D1*
-X20315D2*
-X20542D1*
-X23389D2*
-X27675D1*
-X35182D2*
-X37050D1*
-X40311D2*
-X43042D1*
-X4337Y12741D2*
-X7472D1*
-X8210D2*
-X8816D1*
-X9553D2*
-X10042D1*
-X24221D2*
-X27425D1*
-X28307D2*
-X29742D1*
-X34932D2*
-X36804D1*
-X40124D2*
-X43042D1*
-X4337Y12493D2*
-X7472D1*
-X8210D2*
-X8816D1*
-X9553D2*
-X9796D1*
-X24303D2*
-X27175D1*
-X28057D2*
-X29742D1*
-X34682D2*
-X36554D1*
-X39874D2*
-X43042D1*
-X4337Y12244D2*
-X7472D1*
-X8210D2*
-X8816D1*
-X18053D2*
-X18554D1*
-X24217D2*
-X26929D1*
-X27811D2*
-X29722D1*
-X34553D2*
-X35429D1*
-X36198D2*
-X36374D1*
-X39608D2*
-X43042D1*
-X5885Y11995D2*
-X7472D1*
-X8210D2*
-X8906D1*
-X11444D2*
-X12890D1*
-X18053D2*
-X20054D1*
-X24303D2*
-X26679D1*
-X27561D2*
-X29722D1*
-X34553D2*
-X35394D1*
-X38878D2*
-X41480D1*
-X5901Y11747D2*
-X7472D1*
-X8210D2*
-X9050D1*
-X10069D2*
-X10429D1*
-X12780D2*
-X13222D1*
-X18053D2*
-X19718D1*
-X24217D2*
-X24968D1*
-X25901D2*
-X26429D1*
-X27311D2*
-X29722D1*
-X34553D2*
-X35445D1*
-X38850D2*
+X30016D1*
+X30612D2*
+X31848D1*
+X7343Y17581D2*
+X7533D1*
+X12085D2*
+X13328D1*
+X15148D2*
+X16680D1*
+X22898D2*
+X25223D1*
+X26155D2*
+X26574D1*
+X27640D2*
+X27953D1*
+X29144D2*
+X32098D1*
+X7097Y17332D2*
+X7531D1*
+X12691D2*
+X13078D1*
+X14898D2*
+X16680D1*
+X22616D2*
+X26328D1*
+X28585D2*
+X32344D1*
+X14648Y17083D2*
+X15453D1*
+X19023D2*
+X26082D1*
+X28339D2*
+X30430D1*
+X31077D2*
+X32594D1*
+X6597Y16835D2*
+X6851D1*
+X14401D2*
+X15207D1*
+X19296D2*
+X22773D1*
+X31640D2*
+X32844D1*
+X6351Y16586D2*
+X6851D1*
+X14151D2*
+X14957D1*
+X15839D2*
+X16680D1*
+X19382D2*
+X22773D1*
+X31640D2*
+X32851D1*
+X6101Y16337D2*
+X6851D1*
+X13901D2*
+X14707D1*
+X15593D2*
+X16680D1*
+X19382D2*
+X22773D1*
+X31640D2*
+X32851D1*
+X5851Y16089D2*
+X6851D1*
+X13655D2*
+X14461D1*
+X15343D2*
+X16680D1*
+X19382D2*
+X22773D1*
+X32105D2*
+X32851D1*
+X5605Y15840D2*
+X6851D1*
+X13405D2*
+X14211D1*
+X15093D2*
+X16680D1*
+X19382D2*
+X22441D1*
+X32355D2*
+X32851D1*
+X5355Y15591D2*
+X8316D1*
+X13155D2*
+X14008D1*
+X14847D2*
+X16519D1*
+X19538D2*
+X21699D1*
+X32605D2*
+X32851D1*
+X5105Y15343D2*
+X6387D1*
+X12909D2*
+X13992D1*
+X14632D2*
+X16332D1*
+X19788D2*
+X21699D1*
+X4859Y15094D2*
+X6324D1*
+X12659D2*
+X13992D1*
+X14632D2*
+X16320D1*
+X20038D2*
+X21699D1*
+X4609Y14845D2*
+X6437D1*
+X12409D2*
+X13992D1*
+X14984D2*
+X16320D1*
+X20284D2*
+X21699D1*
+X4359Y14597D2*
+X7305D1*
+X12362D2*
+X13898D1*
+X15167D2*
+X16320D1*
+X20534D2*
+X22094D1*
+X4337Y14348D2*
+X7305D1*
+X12855D2*
+X13914D1*
+X15148D2*
+X16320D1*
+X20784D2*
+X21934D1*
+X4337Y14099D2*
+X7305D1*
+X13245D2*
+X14086D1*
+X15155D2*
+X16320D1*
+X21030D2*
+X21934D1*
+X4337Y13851D2*
+X7305D1*
+X14058D2*
+X14336D1*
+X15405D2*
+X16297D1*
+X21280D2*
+X21934D1*
+X4337Y13602D2*
+X7305D1*
+X15616D2*
+X16269D1*
+X21530D2*
+X21934D1*
+X32761D2*
+X32961D1*
+X4337Y13353D2*
+X7445D1*
+X21776D2*
+X21934D1*
+X32761D2*
+X33207D1*
+X34843D2*
+X40715D1*
+X42042D2*
+X43043D1*
+X4337Y13104D2*
+X7445D1*
+X19589D2*
+X19832D1*
+X28776D2*
+X29039D1*
+X32839D2*
+X33457D1*
+X35081D2*
+X40715D1*
+X42042D2*
+X43043D1*
+X4337Y12856D2*
+X7445D1*
+X8183D2*
+X9121D1*
+X19741D2*
+X20078D1*
+X33089D2*
+X33469D1*
+X35132D2*
+X40715D1*
+X42042D2*
+X43043D1*
+X4337Y12607D2*
+X7445D1*
+X8183D2*
+X9121D1*
+X19987D2*
+X20328D1*
+X31675D2*
+X32078D1*
+X33339D2*
+X33471D1*
+X35132D2*
+X40715D1*
+X42042D2*
+X43043D1*
+X4337Y12358D2*
+X7445D1*
+X8183D2*
+X10867D1*
+X20300D2*
+X20434D1*
+X22773D2*
+X23480D1*
+X26109D2*
+X26391D1*
+X31429D2*
+X32328D1*
+X35132D2*
+X43043D1*
+X4337Y12110D2*
+X7445D1*
+X8183D2*
+X10973D1*
+X22878D2*
+X23480D1*
+X25948D2*
+X26414D1*
+X31331D2*
+X32578D1*
+X35132D2*
+X43043D1*
+X5901Y11861D2*
+X7445D1*
+X8183D2*
+X10973D1*
+X15804D2*
+X19262D1*
+X22929D2*
+X23480D1*
+X25792D2*
+X26414D1*
+X35179D2*
X41480D1*
-X5901Y11498D2*
-X7472D1*
-X9823D2*
-X10460D1*
-X13030D2*
-X13222D1*
-X18053D2*
-X19636D1*
-X24303D2*
-X24968D1*
-X28034D2*
-X29722D1*
-X34553D2*
-X35460D1*
-X38850D2*
+X5901Y11612D2*
+X7445D1*
+X8183D2*
+X10973D1*
+X15804D2*
+X16851D1*
+X22929D2*
+X23480D1*
+X25792D2*
+X26414D1*
+X35179D2*
X41480D1*
-X5901Y11249D2*
-X7511D1*
-X9573D2*
-X10683D1*
-X18053D2*
-X19242D1*
-X24214D2*
-X24968D1*
-X28034D2*
-X28429D1*
-X29194D2*
-X29722D1*
-X34553D2*
-X35554D1*
-X38850D2*
+X5901Y11364D2*
+X7445D1*
+X8183D2*
+X10973D1*
+X15804D2*
+X16601D1*
+X22929D2*
+X24070D1*
+X25569D2*
+X26414D1*
+X35179D2*
X41480D1*
-X5901Y11001D2*
-X7707D1*
-X9323D2*
-X10933D1*
-X13471D2*
-X14187D1*
-X14924D2*
-X16351D1*
-X17089D2*
-X18972D1*
-X23842D2*
-X25718D1*
-X28034D2*
-X28308D1*
-X29233D2*
-X29761D1*
-X31776D2*
-X32851D1*
-X33589D2*
-X35554D1*
-X38850D2*
+X5901Y11115D2*
+X7445D1*
+X8183D2*
+X10973D1*
+X15804D2*
+X16355D1*
+X22929D2*
+X24320D1*
+X25569D2*
+X26414D1*
+X35179D2*
X41480D1*
-X5901Y10752D2*
-X7707D1*
-X9077D2*
-X11179D1*
-X13471D2*
-X14183D1*
-X14940D2*
-X16308D1*
-X17089D2*
-X18722D1*
-X21507D2*
-X24957D1*
-X29092D2*
-X29847D1*
-X31690D2*
-X32851D1*
-X33589D2*
-X35164D1*
-X35866D2*
+X5901Y10866D2*
+X7445D1*
+X8183D2*
+X11945D1*
+X12683D2*
+X14101D1*
+X14839D2*
+X16105D1*
+X17128D2*
+X17590D1*
+X18413D2*
+X19633D1*
+X22929D2*
+X23934D1*
+X25569D2*
+X26434D1*
+X27069D2*
+X27398D1*
+X28097D2*
+X28519D1*
+X35179D2*
X41480D1*
-X5901Y10503D2*
-X7707D1*
-X8917D2*
-X12882D1*
-X13245D2*
-X14140D1*
-X14987D2*
-X16265D1*
-X17112D2*
-X18578D1*
-X21257D2*
-X24957D1*
-X28694D2*
-X30687D1*
-X31424D2*
-X32851D1*
-X33589D2*
-X35074D1*
-X36128D2*
+X5901Y10618D2*
+X7445D1*
+X8183D2*
+X11945D1*
+X12683D2*
+X14101D1*
+X14839D2*
+X15777D1*
+X16878D2*
+X17531D1*
+X21198D2*
+X23867D1*
+X25569D2*
+X26434D1*
+X28101D2*
+X28672D1*
+X29210D2*
+X29359D1*
+X30964D2*
+X31293D1*
+X32620D2*
X41480D1*
-X4337Y10255D2*
-X14277D1*
-X14846D2*
-X16402D1*
-X16971D2*
-X18601D1*
-X21007D2*
-X23476D1*
-X24026D2*
-X24957D1*
-X27206D2*
-X27843D1*
-X28530D2*
-X30687D1*
-X31424D2*
-X32851D1*
-X33589D2*
-X35160D1*
-X36378D2*
-X43042D1*
-X4337Y10006D2*
-X14140D1*
-X14983D2*
-X16265D1*
-X17108D2*
-X18453D1*
-X24174D2*
-X24957D1*
-X26960D2*
-X30636D1*
-X31491D2*
-X32824D1*
-X33678D2*
-X35742D1*
-X36510D2*
-X43042D1*
-X4337Y9757D2*
-X14175D1*
-X14948D2*
-X16300D1*
-X17089D2*
-X18062D1*
-X24135D2*
-X25800D1*
-X26710D2*
-X30714D1*
-X31409D2*
-X32902D1*
-X33596D2*
-X35351D1*
-X38596D2*
-X43042D1*
-X4337Y9509D2*
-X14187D1*
-X14924D2*
-X16351D1*
-X17089D2*
-X17855D1*
-X23862D2*
-X30656D1*
-X31467D2*
-X32843D1*
-X33655D2*
-X35097D1*
-X38596D2*
-X43042D1*
-X4337Y9260D2*
-X13222D1*
-X17268D2*
-X17761D1*
-X23729D2*
-X29722D1*
-X33659D2*
-X35093D1*
-X38596D2*
-X43042D1*
-X4337Y9011D2*
-X13222D1*
-X17268D2*
-X17839D1*
-X23514D2*
-X29722D1*
-X33569D2*
-X35304D1*
-X38596D2*
-X43042D1*
-X4337Y8762D2*
-X13222D1*
-X17268D2*
-X29722D1*
-X33569D2*
-X35554D1*
-X38596D2*
-X43042D1*
-X8714Y8514D2*
-X13222D1*
-X17268D2*
-X29722D1*
-X33569D2*
-X35761D1*
-X8714Y8265D2*
-X13222D1*
-X17268D2*
-X28324D1*
-X33569D2*
-X35515D1*
-X8714Y8016D2*
-X10921D1*
-X11577D2*
-X13242D1*
-X17257D2*
-X23054D1*
-X24007D2*
-X25492D1*
-X26007D2*
-X26367D1*
-X26882D2*
-X28074D1*
-X32803D2*
-X35265D1*
-X8714Y7768D2*
-X10824D1*
-X20772D2*
-X22894D1*
-X24171D2*
-X25332D1*
-X27046D2*
-X27828D1*
-X33049D2*
-X34578D1*
-X38440D2*
+X4337Y10369D2*
+X7445D1*
+X8667D2*
+X11891D1*
+X12737D2*
+X14016D1*
+X14862D2*
+X15641D1*
+X16632D2*
+X17449D1*
+X22222D2*
+X23617D1*
+X25569D2*
+X26465D1*
+X28101D2*
+X29531D1*
+X30526D2*
+X31293D1*
+X32620D2*
+X43043D1*
+X4337Y10120D2*
+X7445D1*
+X8667D2*
+X11934D1*
+X12691D2*
+X14059D1*
+X14816D2*
+X15684D1*
+X16441D2*
+X17539D1*
+X22304D2*
+X23367D1*
+X24249D2*
+X24445D1*
+X25569D2*
+X26719D1*
+X27034D2*
+X27324D1*
+X28179D2*
+X29449D1*
+X30304D2*
+X31293D1*
+X32620D2*
+X43043D1*
+X4337Y9872D2*
+X7457D1*
+X8667D2*
+X11930D1*
+X12694D2*
+X14055D1*
+X14819D2*
+X17406D1*
+X24003D2*
+X24445D1*
+X25499D2*
+X27414D1*
+X28089D2*
+X29539D1*
+X30214D2*
+X31293D1*
+X32706D2*
+X43043D1*
+X4337Y9623D2*
+X7457D1*
+X8667D2*
+X11891D1*
+X12734D2*
+X14016D1*
+X14859D2*
+X17324D1*
+X23753D2*
+X24445D1*
+X25378D2*
+X27344D1*
+X28159D2*
+X29469D1*
+X30284D2*
+X31414D1*
+X34925D2*
+X43043D1*
+X4337Y9374D2*
+X7457D1*
+X8667D2*
+X11937D1*
+X12675D2*
+X14101D1*
+X14839D2*
+X17410D1*
+X22671D2*
+X24445D1*
+X25378D2*
+X26414D1*
+X31046D2*
+X31594D1*
+X34925D2*
+X43043D1*
+X4337Y9125D2*
+X10973D1*
+X15609D2*
+X17926D1*
+X22671D2*
+X24445D1*
+X25378D2*
+X26414D1*
+X31046D2*
+X31633D1*
+X34925D2*
+X43043D1*
+X4337Y8877D2*
+X10973D1*
+X15609D2*
+X18176D1*
+X22671D2*
+X26414D1*
+X31046D2*
+X31738D1*
+X34925D2*
+X43043D1*
+X4337Y8628D2*
+X10973D1*
+X15609D2*
+X19633D1*
+X22671D2*
+X26414D1*
+X31046D2*
+X31883D1*
+X36429D2*
+X43043D1*
+X8714Y8379D2*
+X10973D1*
+X15648D2*
+X18965D1*
+X22511D2*
+X26414D1*
+X32253D2*
+X32559D1*
+X36691D2*
X38664D1*
-X8714Y7519D2*
-X10203D1*
-X21046D2*
-X22703D1*
-X24139D2*
-X25140D1*
-X27014D2*
-X27578D1*
-X33299D2*
-X34332D1*
-X8714Y7270D2*
-X9953D1*
-X21296D2*
-X22453D1*
-X23776D2*
-X24906D1*
-X26651D2*
-X27328D1*
-X33495D2*
-X34082D1*
-X42173Y18451D2*
-X43068D1*
-X41953Y19562D1*
-X38827Y22688D1*
-X8554Y22689D1*
-X4312Y18450D1*
-Y12063D1*
-X5749D1*
-X5855Y12002D1*
-X5874Y11938D1*
-Y10500D1*
-X5813Y10395D1*
-X5749Y10375D1*
-X4312D1*
-Y8625D1*
-X8562D1*
-X8667Y8564D1*
-X8687Y8500D1*
-Y7250D1*
-X9957Y7247D1*
-X10354Y7645D1*
-X10462Y7713D1*
-X10562Y7731D1*
-X10847Y7734D1*
-X10862Y7858D1*
-X10913Y7971D1*
-X10997Y8063D1*
-X11105Y8125D1*
-X11227Y8152D1*
-X11351Y8139D1*
-X11465Y8090D1*
-X11559Y8008D1*
-X11597Y7944D1*
-X11687Y7856D1*
-X13269D1*
-X13268Y8230D1*
-X13247Y8232D1*
-Y9392D1*
-X13895Y9388D1*
-X14092Y9392D1*
-X14211D1*
-X14212Y9741D1*
-X14183Y9800D1*
-X14160Y9922D1*
-X14174Y10045D1*
-X14226Y10159D1*
-X14307Y10248D1*
-X14243Y10316D1*
-X14183Y10425D1*
-X14160Y10547D1*
-X14174Y10670D1*
-X14211Y10752D1*
-X14212Y11182D1*
-X14035Y11185D1*
-X13838D1*
-X13444D1*
-X13321D1*
-X13371Y11133D1*
-X13435Y11026D1*
-X13465Y10875D1*
-X13446Y10751D1*
-X13390Y10640D1*
-X13303Y10551D1*
-X13192Y10493D1*
-X13070Y10472D1*
-X12946Y10489D1*
-X12834Y10543D1*
-X12792Y10583D1*
-X11500Y10580D1*
-X11375Y10608D1*
-X11291Y10666D1*
-X10541Y11416D1*
-X10473Y11524D1*
-X10455Y11625D1*
-X10451Y12153D1*
-X8942Y10652D1*
-X8892Y10517D1*
-Y10357D1*
-X7732D1*
-Y11033D1*
-X7600Y11164D1*
-X7529Y11266D1*
-X7499Y11407D1*
-Y15684D1*
-X7391Y15687D1*
-Y16972D1*
-X6839Y16970D1*
-X6843Y16563D1*
-Y16458D1*
-X6872Y16402D1*
-X6902Y16251D1*
-X6883Y16128D1*
-X6827Y16017D1*
-X6740Y15928D1*
-X6630Y15870D1*
-X6507Y15848D1*
-X6384Y15865D1*
-X6271Y15919D1*
-X6181Y16004D1*
-X6121Y16113D1*
-X6097Y16236D1*
-X6111Y16359D1*
-X6155Y16455D1*
-X6156Y17313D1*
-X6178Y17436D1*
-X6243Y17542D1*
-X6341Y17618D1*
-X6463Y17655D1*
-X7499Y17657D1*
-X8409D1*
-X8406Y17974D1*
-X7872Y17970D1*
-X6938D1*
-Y19347D1*
-X7632D1*
-Y19903D1*
-X8516D1*
-Y19844D1*
-X8750D1*
-X8872Y19821D1*
-X8978Y19757D1*
-X9054Y19658D1*
-X9091Y19536D1*
-X9093Y18457D1*
-X9185Y18565D1*
-X9293Y18627D1*
-X9414Y18653D1*
-X9538Y18641D1*
-X9653Y18591D1*
-X9746Y18509D1*
-X9810Y18403D1*
-X9840Y18251D1*
-X9821Y18128D1*
-X9780Y18048D1*
-X9781Y17333D1*
-X9810Y17277D1*
-X9840Y17126D1*
-X9821Y17003D1*
-X9765Y16892D1*
-X9678Y16803D1*
-X9567Y16745D1*
-X9445Y16723D1*
-X9321Y16740D1*
-X9201Y16802D1*
-X9200Y16411D1*
-X9429Y16180D1*
-X9499Y16078D1*
-X9529Y15953D1*
-X9530Y12464D1*
-X9621Y12383D1*
-X9655Y12326D1*
-X10238Y12912D1*
-Y14750D1*
-X10262Y14872D1*
-X10333Y14979D1*
-X10776Y15422D1*
-X10666Y15541D1*
-X10598Y15649D1*
-X10580Y15750D1*
-Y18000D1*
-X10609Y18124D1*
-X10666Y18208D1*
-X10916Y18458D1*
-X11024Y18526D1*
-X11125Y18544D1*
-X13269D1*
-X13268Y19410D1*
-X13183Y19550D1*
-X13160Y19672D1*
-X13174Y19795D1*
-X13226Y19909D1*
-X13310Y20001D1*
-X13418Y20063D1*
-X13497Y20080D1*
-X13538Y20159D1*
-X13622Y20251D1*
-X13730Y20313D1*
-X13852Y20339D1*
-X13976Y20327D1*
-X14090Y20277D1*
-X14186Y20192D1*
-X14247Y20251D1*
-X14355Y20313D1*
-X14477Y20339D1*
-X14601Y20327D1*
-X14715Y20277D1*
-X14811Y20192D1*
-X14872Y20251D1*
-X14980Y20313D1*
-X15102Y20339D1*
-X15226Y20327D1*
-X15340Y20277D1*
-X15436Y20192D1*
-X15497Y20251D1*
-X15605Y20313D1*
-X15727Y20339D1*
-X15851Y20327D1*
-X15965Y20277D1*
-X16059Y20195D1*
-X16124Y20085D1*
-X16163Y20077D1*
-X16277Y20027D1*
-X16310Y19999D1*
-X16418Y20063D1*
-X16539Y20089D1*
-X16663Y20077D1*
-X16745Y20041D1*
-X16793Y20065D1*
-X16915Y20091D1*
-X17039Y20078D1*
-X17121Y20043D1*
-X17169Y20065D1*
-X17290Y20091D1*
-X17414Y20078D1*
-X17528Y20029D1*
-X17622Y19947D1*
-X17636Y19924D1*
-X18888Y19921D1*
-X18915Y19973D1*
-X18998Y20065D1*
-X19106Y20127D1*
-X19228Y20153D1*
-X19352Y20141D1*
-X19466Y20091D1*
-X19492Y20068D1*
-X19603Y20091D1*
-X19727Y20078D1*
-X19841Y20029D1*
-X19920Y19960D1*
-X19978Y19966D1*
-X20102Y19953D1*
-X20184Y19918D1*
-X20231Y19940D1*
-X20353Y19966D1*
-X20477Y19953D1*
-X20591Y19904D1*
-X20670Y19835D1*
-X20728Y19841D1*
-X20852Y19828D1*
-X20966Y19779D1*
-X21060Y19697D1*
-X21125Y19586D1*
-X21165Y19578D1*
-X21279Y19529D1*
-X21372Y19447D1*
-X21436Y19340D1*
-X21466Y19189D1*
-X21447Y19066D1*
-X21377Y18940D1*
-X21436Y18840D1*
-X21466Y18689D1*
-X21447Y18566D1*
-X21377Y18440D1*
-X21436Y18340D1*
-X21466Y18189D1*
-X21447Y18066D1*
-X21391Y17954D1*
-X21304Y17865D1*
-X21194Y17807D1*
-X21136Y17797D1*
-X21152Y17687D1*
-X21133Y17564D1*
-X21077Y17453D1*
-X20990Y17364D1*
-X20880Y17306D1*
-X20815Y17294D1*
-X20765Y17203D1*
-X20677Y17114D1*
-X20567Y17056D1*
-X20445Y17034D1*
-X20321Y17051D1*
-X20297Y17063D1*
-X20289Y17032D1*
-Y16867D1*
-X20371Y16863D1*
-X20495Y16834D1*
-X20579Y16777D1*
-X20764Y16592D1*
-X21532Y16591D1*
-Y21604D1*
-X21497Y21677D1*
-X21474Y21799D1*
-X21488Y21922D1*
-X21540Y22036D1*
-X21624Y22128D1*
-X21731Y22190D1*
-X21853Y22216D1*
-X21977Y22204D1*
-X22091Y22154D1*
-X22185Y22072D1*
-X22249Y21965D1*
-X22279Y21814D1*
-X22259Y21691D1*
-X22219Y21611D1*
-X22224Y16471D1*
-X22308Y16563D1*
-X22416Y16625D1*
-X22538Y16652D1*
-X22662Y16639D1*
-X22776Y16590D1*
-X22869Y16508D1*
-X22934Y16401D1*
-X22949Y16337D1*
-X23964Y16335D1*
-X24044Y16377D1*
-X24166Y16403D1*
-X24290Y16391D1*
-X24404Y16341D1*
-X24498Y16259D1*
-X24532Y16202D1*
-X24533Y21919D1*
-X24498Y21989D1*
-X24474Y22111D1*
-X24488Y22235D1*
-X24540Y22348D1*
-X24624Y22440D1*
-X24732Y22502D1*
-X24854Y22528D1*
-X24977Y22516D1*
-X25092Y22467D1*
-X25185Y22385D1*
-X25249Y22278D1*
-X25279Y22126D1*
-X25260Y22003D1*
-X25220Y21923D1*
-Y14188D1*
-X25197Y14066D1*
-X25119Y13945D1*
-X24945Y13771D1*
-X24943Y13285D1*
-X24059D1*
-X24058Y13344D1*
-X23374D1*
-X23329Y13266D1*
-X23241Y13177D1*
-X23204Y13157D1*
-X23310Y13071D1*
-X23374Y12964D1*
-X23400Y12855D1*
-X23691Y12856D1*
-X23730Y12875D1*
-X23852Y12902D1*
-X23976Y12889D1*
-X24090Y12840D1*
-X24184Y12758D1*
-X24248Y12651D1*
-X24277Y12500D1*
-X24258Y12376D1*
-X24188Y12251D1*
-X24248Y12151D1*
-X24277Y12000D1*
-X24258Y11876D1*
-X24188Y11751D1*
-X24248Y11651D1*
-X24277Y11500D1*
-X24258Y11376D1*
-X24202Y11265D1*
-X24115Y11176D1*
-X24005Y11118D1*
-X23871Y11098D1*
-X23827Y11015D1*
-X23740Y10926D1*
-X23630Y10868D1*
-X23507Y10847D1*
-X23384Y10864D1*
-X23271Y10918D1*
-X23229Y10958D1*
-X21683Y10955D1*
-X20960Y10231D1*
-X23479Y10232D1*
-X23607Y10314D1*
-X23728Y10340D1*
-X23852Y10327D1*
-X23967Y10278D1*
-X24060Y10196D1*
-X24124Y10089D1*
-X24154Y9938D1*
-X24135Y9815D1*
-X24079Y9703D1*
-X23992Y9615D1*
-X23881Y9557D1*
-X23839Y9549D1*
-X23822Y9440D1*
-X23766Y9328D1*
-X23679Y9239D1*
-X23569Y9182D1*
-X23527Y9174D1*
-X23510Y9065D1*
-X23454Y8953D1*
-X23366Y8864D1*
-X23256Y8806D1*
-X23134Y8785D1*
-X23010Y8802D1*
-X22898Y8856D1*
-X22798Y8958D1*
-X21626Y8956D1*
-X18466D1*
-X18318Y8869D1*
-X18196Y8847D1*
-X18072Y8864D1*
-X17960Y8918D1*
-X17870Y9004D1*
-X17809Y9113D1*
-X17786Y9235D1*
-X17800Y9359D1*
-X17852Y9472D1*
-X17936Y9564D1*
-X18040Y9624D1*
-X18050Y9671D1*
-X18102Y9784D1*
-X18186Y9876D1*
-X18294Y9939D1*
-X18415Y9965D1*
-X18473Y9959D1*
-X18488Y10046D1*
-X18539Y10159D1*
-X18640Y10261D1*
-X18621Y10300D1*
-X18597Y10422D1*
-X18612Y10545D1*
-X18663Y10659D1*
-X18747Y10751D1*
-X18855Y10813D1*
-X18920Y10827D1*
-X18924Y10858D1*
-X18976Y10971D1*
-X19060Y11063D1*
-X19168Y11125D1*
-X19232Y11139D1*
-X19237Y11170D1*
-X19288Y11284D1*
-X19372Y11376D1*
-X19480Y11438D1*
-X19602Y11464D1*
-X19660Y11458D1*
-X19674Y11608D1*
-X19726Y11721D1*
-X19810Y11813D1*
-X19918Y11875D1*
-X20043Y11901D1*
-X20101Y12034D1*
-X20151Y12088D1*
-X19992Y12111D1*
-X18578D1*
-Y12419D1*
-X18507Y12409D1*
-X18384Y12426D1*
-X18271Y12480D1*
-X18235Y12515D1*
-X18125Y12396D1*
-X18037Y12318D1*
-X18029Y12095D1*
-Y11185D1*
-X17381Y11189D1*
-X17184Y11185D1*
-X17066D1*
-X17065Y10703D1*
-X17090Y10562D1*
-X17070Y10439D1*
-X17015Y10328D1*
-X16941Y10253D1*
-X16996Y10195D1*
-X17060Y10089D1*
-X17090Y9937D1*
-X17065Y9804D1*
-Y9391D1*
-X17242Y9392D1*
-Y8232D1*
-X17230D1*
-X17231Y7797D1*
-X18562Y7794D1*
-X20625D1*
-X20749Y7766D1*
-X20833Y7708D1*
-X21316Y7224D1*
-X22433Y7221D1*
-X22909Y7700D1*
-X22924Y7795D1*
-X22976Y7909D1*
-X23060Y8001D1*
-X23168Y8063D1*
-X23289Y8089D1*
-X23413Y8077D1*
-X23530Y8025D1*
-X23605Y8063D1*
-X23727Y8089D1*
-X23851Y8077D1*
-X23965Y8027D1*
-X24059Y7945D1*
-X24123Y7839D1*
-X24152Y7687D1*
-X24133Y7564D1*
-X24077Y7453D1*
-X23990Y7364D1*
-X23880Y7306D1*
-X23761Y7285D1*
-X23812Y7218D1*
-X24910Y7216D1*
-X24946Y7294D1*
-X25243Y7597D1*
-X25345Y7699D1*
-X25362Y7795D1*
-X25413Y7909D1*
-X25497Y8001D1*
-X25605Y8063D1*
-X25727Y8089D1*
-X25851Y8077D1*
-X25965Y8027D1*
-X26059Y7945D1*
-X26123Y7839D1*
-X26152Y7687D1*
-X26142Y7622D1*
-X26224Y7703D1*
-X26237Y7795D1*
-X26288Y7909D1*
-X26372Y8001D1*
-X26480Y8063D1*
-X26602Y8089D1*
-X26726Y8077D1*
-X26840Y8027D1*
-X26934Y7945D1*
-X26998Y7839D1*
-X27027Y7687D1*
-X27008Y7564D1*
-X26952Y7453D1*
-X26865Y7364D1*
-X26755Y7306D1*
-X26636Y7285D1*
-X26687Y7212D1*
-X27293Y7211D1*
-X28479Y8395D1*
-X28587Y8463D1*
-X28687Y8481D1*
-X29747Y8482D1*
-Y9392D1*
-X30395Y9388D1*
-X30592Y9392D1*
-X30664D1*
-X30674Y9483D1*
-X30726Y9596D1*
-X30807Y9685D1*
-X30743Y9753D1*
-X30683Y9862D1*
-X30660Y9984D1*
-X30674Y10108D1*
-X30711Y10189D1*
-X30712Y10596D1*
-X30636Y10615D1*
-X30524Y10668D1*
-X30476Y10714D1*
-X30430Y10677D1*
-X30320Y10619D1*
-X30197Y10598D1*
-X30074Y10615D1*
-X29961Y10668D1*
-X29871Y10754D1*
-X29811Y10863D1*
-X29787Y10985D1*
-X29792Y11028D1*
-X29777Y11118D1*
-Y11185D1*
-X29747D1*
-Y12345D1*
-X29767D1*
-X29768Y13097D1*
-X29702Y12953D1*
-X29615Y12864D1*
-X29505Y12806D1*
-X29382Y12784D1*
-X29259Y12801D1*
-X29146Y12855D1*
-X29104Y12895D1*
-X28432Y12890D1*
-X27098Y11556D1*
-X28007Y11557D1*
-Y10858D1*
-X28043Y10875D1*
-X28164Y10902D1*
-X28230Y10895D1*
-X28409Y11075D1*
-X28424Y11170D1*
-X28476Y11284D1*
-X28560Y11376D1*
-X28668Y11438D1*
-X28789Y11464D1*
-X28913Y11452D1*
-X29027Y11402D1*
-X29121Y11320D1*
-X29185Y11214D1*
-X29215Y11062D1*
-X29195Y10939D1*
-X29140Y10828D1*
-X29052Y10739D1*
-X28942Y10681D1*
-X28823Y10660D1*
-X28581Y10415D1*
-X28515Y10265D1*
-X28427Y10176D1*
-X28317Y10118D1*
-X28195Y10097D1*
-X28071Y10114D1*
-X27959Y10168D1*
-X27868Y10253D1*
-X27833Y10318D1*
-X27245Y10319D1*
-X26657Y9729D1*
-X26549Y9661D1*
-X26427Y9614D1*
-X26317Y9556D1*
-X26195Y9534D1*
-X26071Y9551D1*
-X25959Y9605D1*
-X25868Y9691D1*
-X25808Y9800D1*
-X25785Y9922D1*
-X25790Y9964D1*
-X25117Y9961D1*
-X24982D1*
-Y10767D1*
-X25736D1*
-X25745Y10944D1*
-Y11034D1*
-X24993D1*
-Y11839D1*
-X25878D1*
-X25883Y11725D1*
-X25997Y11677D1*
-X26120Y11559D1*
-X26265Y11557D1*
-X28104Y13395D1*
-X28212Y13463D1*
-X28312Y13481D1*
-X29097D1*
-X29230Y13563D1*
-X29352Y13589D1*
-X29476Y13577D1*
-X29590Y13527D1*
-X29684Y13445D1*
-X29748Y13339D1*
-X29768Y13251D1*
-Y14125D1*
-X29796Y14249D1*
-X29854Y14333D1*
-X30335Y14806D1*
-X30375Y14834D1*
-X30252Y14857D1*
-X30146Y14921D1*
-X30070Y15020D1*
-X30033Y15142D1*
-X30031Y15697D1*
-Y15974D1*
-X29870Y15972D1*
-Y16777D1*
-X30030D1*
-X30031Y16857D1*
-X30005Y16918D1*
-X29981Y17040D1*
-X29995Y17164D1*
-X30047Y17277D1*
-X30131Y17369D1*
-X30239Y17431D1*
-X30303Y17445D1*
-X30475Y17618D1*
-X30578Y17688D1*
-X30718Y17718D1*
-X33480D1*
-X33543Y17750D1*
-X33664Y17777D1*
-X33788Y17764D1*
-X33902Y17715D1*
-X33996Y17633D1*
-X34060Y17526D1*
-X34090Y17375D1*
-X34070Y17251D1*
-X34015Y17140D1*
-X33927Y17051D1*
-X33817Y16993D1*
-X33695Y16972D1*
-X33571Y16989D1*
-X33484Y17031D1*
-X30863D1*
-X30767Y16932D1*
-X30740Y16880D1*
-X31579D1*
-Y16853D1*
-X32880Y16851D1*
-X33005Y16822D1*
-X33089Y16764D1*
-X33375Y16481D1*
-X34347D1*
-X34480Y16563D1*
-X34602Y16589D1*
-X34629Y16586D1*
-X34663Y16659D1*
-X34747Y16751D1*
-X34855Y16813D1*
-X34977Y16839D1*
-X35019Y16835D1*
-X35119Y16851D1*
-X35615D1*
-X35616Y16880D1*
-X36776D1*
-Y16853D1*
-X38075Y16851D1*
-X38077Y16880D1*
-X39237D1*
-X39233Y16232D1*
-X39237Y16179D1*
-X39777Y15643D1*
-X40211Y15209D1*
-X40279Y15101D1*
-X40297Y15001D1*
-Y13063D1*
-X40269Y12939D1*
-X40211Y12855D1*
-X39648Y12292D1*
-X39540Y12224D1*
-X39440Y12206D1*
-X39060Y12204D1*
-X38825Y11969D1*
-X38827Y10865D1*
-X38140Y10869D1*
-X38190Y10865D1*
-X37628Y10869D1*
-X37678Y10865D1*
-X37116Y10869D1*
-X37167Y10865D1*
-X36604Y10869D1*
-X36661Y10865D1*
-X36348Y10869D1*
-X36399Y10865D1*
-X35836Y10869D1*
-X35893Y10865D1*
-X35670D1*
-X35718Y10840D1*
-X35814Y10766D1*
-X35898Y10708D1*
-X36398Y10208D1*
-X36466Y10100D1*
-X36484Y10000D1*
-Y9817D1*
-X36592Y9820D1*
-X36780Y9816D1*
-X36729Y9820D1*
-X37292Y9816D1*
-X37241Y9820D1*
-X37803Y9816D1*
-X37753Y9820D1*
-X38315Y9816D1*
-X38259Y9820D1*
-X38571D1*
-Y8582D1*
-X38522Y8576D1*
-Y8037D1*
-X38493Y7913D1*
-X38436Y7829D1*
-X38389Y7782D1*
+X8714Y8131D2*
+X10809D1*
+X16316D2*
+X17621D1*
+X22261D2*
+X26434D1*
+X32499D2*
+X32805D1*
+X36937D2*
+X38664D1*
+X8714Y7882D2*
+X10766D1*
+X16749D2*
+X17578D1*
+X22011D2*
+X26434D1*
+X37187D2*
+X37414D1*
+X37718D2*
+X38664D1*
+X8714Y7633D2*
+X10691D1*
+X21765D2*
+X22480D1*
+X23523D2*
+X25043D1*
+X26210D2*
+X26418D1*
+X33175D2*
+X33305D1*
+X8714Y7385D2*
+X10445D1*
+X21515D2*
+X22383D1*
+X23616D2*
+X24945D1*
+X33140D2*
+X33551D1*
+X42017Y13378D2*
+Y12424D1*
+X40740D1*
+Y13373D1*
+X39066Y13376D1*
+X34797D1*
+X35020Y13150D1*
+X35088Y13042D1*
+X35106Y12942D1*
+Y12032D1*
+X35155Y12028D1*
+Y10789D1*
+X34468Y10793D1*
+X34518Y10789D1*
+X33956Y10793D1*
+X34007Y10789D1*
+X33444Y10793D1*
+X33495Y10789D1*
+X32932Y10793D1*
+X32989Y10789D1*
+X32677Y10793D1*
+X32727Y10789D1*
+X32596Y10784D1*
+Y10125D1*
+X32573Y9977D1*
+X32717Y9836D1*
+X32780Y9741D1*
+X32852Y9744D1*
+X33364D1*
+X33876D1*
+X34387D1*
+X34899D1*
+Y8709D1*
+X34940Y8669D1*
+X36253D1*
+X36377Y8641D1*
+X36461Y8583D1*
+X37253Y7794D1*
+X37288D1*
+X37421Y7876D1*
+X37543Y7902D1*
+X37667Y7890D1*
+X37781Y7840D1*
+X37875Y7758D1*
+X37901Y7715D1*
+X37935Y7751D1*
+X38043Y7813D1*
+X38165Y7839D1*
+X38289Y7827D1*
+X38403Y7777D1*
X38496Y7695D1*
-X38560Y7589D1*
+X38561Y7589D1*
X38590Y7437D1*
-X38570Y7314D1*
-X38530Y7234D1*
-X38562Y7188D1*
-X38691D1*
-X38690Y8500D1*
-X38751Y8605D1*
-X38815Y8625D1*
+X38571Y7314D1*
+X38531Y7234D1*
+X38563Y7188D1*
+X38692D1*
+X38691Y8500D1*
+X38752Y8605D1*
+X38816Y8625D1*
X43066D1*
Y10375D1*
X41628D1*
X41523Y10436D1*
X41503Y10500D1*
Y11938D1*
-X41564Y12043D1*
+X41565Y12043D1*
X41628Y12063D1*
X43066D1*
-Y17176D1*
-X42048Y17173D1*
-Y18451D1*
-X42173D1*
-X8220Y11517D2*
-X8845D1*
-X9106Y11779D1*
-X8993Y11878D1*
-X8933Y11987D1*
-X8925Y12029D1*
-X8901Y12058D1*
-X8851Y12172D1*
-X8842Y12376D1*
-Y15684D1*
-X8296Y15687D1*
-X8294Y15938D1*
-Y15687D1*
-X8189D1*
-X8186Y14625D1*
-Y11551D1*
-X20291Y12947D2*
-X20556D1*
-X20619Y13102D1*
-X20703Y13194D1*
-X20811Y13256D1*
-X20913Y13278D1*
-X20774Y13344D1*
-X20714D1*
-X20615Y13239D1*
-X20505Y13181D1*
-X20382Y13159D1*
-X20324Y13167D1*
-X20289Y13118D1*
-X20285Y13095D1*
-X20289Y12944D1*
-X30624Y20672D2*
-X30528Y20556D1*
-X30427Y20482D1*
-X30313Y20430D1*
-X30191Y20404D1*
-X30067Y20403D1*
-X29944Y20428D1*
-X29830Y20478D1*
-X29728Y20550D1*
-X29644Y20642D1*
-X29580Y20749D1*
-X29540Y20867D1*
-X29525Y20991D1*
-X29536Y21115D1*
-X29573Y21235D1*
-X29634Y21344D1*
-X29715Y21438D1*
-X29815Y21513D1*
-X29928Y21566D1*
-X30049Y21594D1*
-X30174Y21597D1*
-X30297Y21574D1*
-X30412Y21526D1*
-X30515Y21455D1*
-X30600Y21364D1*
-X30623Y21328D1*
-X30715Y21438D1*
-X30815Y21513D1*
-X30928Y21566D1*
-X31049Y21594D1*
-X31174Y21597D1*
-X31297Y21574D1*
-X31412Y21526D1*
-X31515Y21455D1*
-X31600Y21364D1*
-X31623Y21328D1*
-X31715Y21438D1*
-X31815Y21513D1*
-X31928Y21566D1*
-X32049Y21594D1*
-X32174Y21597D1*
-X32297Y21574D1*
-X32412Y21526D1*
-X32515Y21455D1*
-X32600Y21364D1*
-X32623Y21328D1*
-X32715Y21438D1*
-X32815Y21513D1*
-X32928Y21566D1*
-X33049Y21594D1*
-X33174Y21597D1*
-X33297Y21574D1*
-X33412Y21526D1*
-X33515Y21455D1*
-X33600Y21364D1*
-X33666Y21258D1*
-X33707Y21140D1*
-X33724Y21000D1*
-X33711Y20876D1*
-X33673Y20757D1*
-X33611Y20649D1*
-X33528Y20556D1*
-X33427Y20482D1*
-X33313Y20430D1*
-X33191Y20404D1*
-X33067Y20403D1*
-X32944Y20428D1*
-X32830Y20478D1*
-X32728Y20550D1*
-X32626Y20672D1*
-X32528Y20556D1*
-X32427Y20482D1*
-X32313Y20430D1*
-X32191Y20404D1*
-X32067Y20403D1*
-X31944Y20428D1*
-X31830Y20478D1*
-X31728Y20550D1*
-X31626Y20672D1*
-X31528Y20556D1*
-X31427Y20482D1*
-X31313Y20430D1*
-X31191Y20404D1*
-X31067Y20403D1*
-X30944Y20428D1*
-X30830Y20478D1*
-X30728Y20550D1*
-X30626Y20672D1*
-X14945Y10439D2*
-X14890Y10328D1*
-X14816Y10253D1*
-X14871Y10195D1*
-X14935Y10089D1*
-X14965Y9937D1*
-X14945Y9814D1*
-X14898Y9720D1*
-X14899Y9395D1*
-X15076Y9392D1*
-X15273D1*
-X15667D1*
-X16061D1*
-X16258D1*
-X16376D1*
-X16377Y9678D1*
-X16308Y9800D1*
-X16285Y9922D1*
-X16299Y10045D1*
-X16351Y10159D1*
-X16432Y10248D1*
-X16368Y10316D1*
-X16308Y10425D1*
-X16285Y10547D1*
-X16299Y10670D1*
-X16351Y10784D1*
-X16380Y10815D1*
-X16377Y11186D1*
-X16200Y11189D1*
-X16003Y11185D1*
-X15610D1*
-X15216D1*
-X15019D1*
-X14901D1*
-X14899Y10781D1*
-X14935Y10714D1*
-X14965Y10562D1*
-X14945Y10439D1*
-X31310Y9687D2*
-X31371Y9633D1*
-X31435Y9526D1*
-X31464Y9393D1*
-X31773Y9388D1*
-X32019Y9392D1*
-X32167Y9388D1*
-X32413Y9392D1*
-X32561Y9388D1*
-X32758Y9392D1*
-Y8232D1*
-X32730D1*
-Y8063D1*
-X33394Y7399D1*
-X33462Y7291D1*
-X33476Y7239D1*
-X33562Y7198D1*
-X34035Y7197D1*
-X34732Y7896D1*
-X34840Y7963D1*
-X34940Y7982D1*
-X35258Y7984D1*
-X35857Y8583D1*
-X35836Y8586D1*
-X35581Y8582D1*
-Y8976D1*
-X35510Y8972D1*
-X35387Y8989D1*
-X35274Y9043D1*
-X35184Y9129D1*
-X35124Y9238D1*
-X35100Y9360D1*
-X35115Y9484D1*
-X35166Y9597D1*
-X35250Y9689D1*
-X35358Y9751D1*
-X35480Y9777D1*
-X35584Y9767D1*
-X35581Y9820D1*
-X35897D1*
-X35893Y9880D1*
-X35648Y10126D1*
-X35510Y10098D1*
-X35387Y10114D1*
-X35274Y10168D1*
-X35184Y10254D1*
-X35124Y10363D1*
-X35100Y10485D1*
-X35115Y10609D1*
-X35166Y10722D1*
-X35250Y10814D1*
-X35358Y10876D1*
-X35480Y10902D1*
-X35584Y10892D1*
-X35581Y11346D1*
-X35511Y11434D1*
-X35480Y11515D1*
-X35471Y11719D1*
-Y11856D1*
-X35436Y11926D1*
-X35412Y12048D1*
-X35427Y12171D1*
-X35479Y12285D1*
-X35563Y12377D1*
-X35670Y12439D1*
-X35792Y12465D1*
-X35916Y12453D1*
-X36030Y12403D1*
-X36124Y12321D1*
-X36188Y12214D1*
-X36214Y12105D1*
-X36268Y12100D1*
-X36395Y12104D1*
-X36396Y12188D1*
-X36424Y12312D1*
-X36482Y12396D1*
-X37396Y13310D1*
-X36778Y13309D1*
-X36776Y13279D1*
-X35616D1*
-Y13330D1*
-X35494Y13328D1*
-X34540Y12381D1*
-X34529Y12345D1*
-Y11185D1*
-X33881Y11189D1*
-X33684Y11185D1*
-X33565Y11184D1*
-Y10250D1*
-X33623Y10151D1*
-X33652Y10000D1*
-X33633Y9876D1*
-X33577Y9765D1*
-X33504Y9690D1*
-X33559Y9633D1*
-X33623Y9526D1*
-X33652Y9375D1*
-X33633Y9251D1*
-X33577Y9140D1*
-X33547Y9110D1*
-X33545Y8517D1*
-Y8232D1*
-X32897D1*
-Y9181D1*
-X32871Y9237D1*
-X32847Y9359D1*
-X32862Y9483D1*
-X32913Y9596D1*
-X32995Y9685D1*
-X32931Y9753D1*
-X32871Y9862D1*
-X32847Y9984D1*
-X32862Y10108D1*
-X32877Y10153D1*
-X32878Y11187D1*
-X32700Y11185D1*
-X32503D1*
-X32110D1*
-X31716D1*
-X31751Y11031D1*
-X31733Y10877D1*
-X31677Y10766D1*
-X31590Y10677D1*
-X31480Y10619D1*
-X31399Y10605D1*
-Y10219D1*
-X31435Y10151D1*
-X31465Y10000D1*
-X31445Y9876D1*
-X31390Y9765D1*
-X31316Y9690D1*
-X17389Y13043D2*
-X17413Y13096D1*
-X17453Y13139D1*
-X17455Y15250D1*
-Y17730D1*
-X17106Y17375D1*
-Y14902D1*
-X17185Y14776D1*
-X17215Y14625D1*
-X17195Y14501D1*
-X17140Y14390D1*
-X17052Y14301D1*
-X16942Y14243D1*
-X16820Y14222D1*
-X16696Y14239D1*
-X16637Y14267D1*
-X14619Y14265D1*
-X13628Y13274D1*
-X13777Y13215D1*
-X13871Y13133D1*
-X13885Y13109D1*
-X13918Y13125D1*
-X14039Y13152D1*
-X14163Y13139D1*
-X14277Y13090D1*
-X14373Y13004D1*
-X14435Y13063D1*
-X14543Y13125D1*
-X14664Y13152D1*
-X14788Y13139D1*
-X14902Y13090D1*
-X14998Y13004D1*
-X15060Y13063D1*
-X15168Y13125D1*
-X15289Y13152D1*
-X15413Y13139D1*
-X15530Y13087D1*
-X15622Y13188D1*
-X15730Y13250D1*
-X15852Y13277D1*
-X15976Y13264D1*
-X16090Y13215D1*
-X16184Y13133D1*
-X16248Y13026D1*
-X16268Y12939D1*
-X16372Y13063D1*
-X16480Y13125D1*
-X16602Y13152D1*
-X16726Y13139D1*
-X16840Y13090D1*
-X16934Y13008D1*
-X16992Y12911D1*
-X17041Y12958D1*
-X17149Y13026D1*
-X17250Y13044D1*
-X17386D1*
-X18298Y13155D2*
-X18355Y13188D1*
-X18477Y13214D1*
-X18581Y13204D1*
-X18578Y13720D1*
-X18582Y13743D1*
-X18578Y13989D1*
-X18582Y14136D1*
-X18578Y14382D1*
-X18582Y14530D1*
-X18578Y14776D1*
-X18582Y14924D1*
-X18578Y15170D1*
-X18582Y15318D1*
-X18578Y15563D1*
-X18582Y15711D1*
-X18578Y15957D1*
-X18582Y16105D1*
-X18578Y16351D1*
-Y16458D1*
-X18297Y16455D1*
-X18295Y15964D1*
-X18374Y15840D1*
-X18403Y15688D1*
-X18384Y15565D1*
-X18328Y15454D1*
-X18293Y15418D1*
-X18295Y13160D1*
-X33077Y13921D2*
-X33108Y13939D1*
-X33229Y13965D1*
-X33311Y13957D1*
-X32777Y14490D1*
-X31578D1*
-X31575Y14460D1*
-X31579Y14215D1*
-X31575Y14067D1*
-X31579Y13821D1*
-X31575Y13673D1*
-X31579Y13427D1*
-X31575Y13476D1*
-X31665Y13370D1*
-X31714Y13326D1*
-X31972D1*
-X32345Y13699D1*
-X32362Y13795D1*
-X32413Y13909D1*
-X32497Y14001D1*
-X32605Y14063D1*
-X32727Y14089D1*
-X32851Y14077D1*
-X32965Y14027D1*
-X33059Y13945D1*
-X33073Y13922D1*
-X12457Y11897D2*
-X12538Y11889D1*
-X12652Y11840D1*
-X12746Y11758D1*
-X12810Y11651D1*
-X12827Y11579D1*
-X12965Y11527D1*
-X13059Y11445D1*
-X13123Y11339D1*
-X13140Y11267D1*
-X13245Y11229D1*
-X13247Y11954D1*
-X13063Y11955D1*
-X12939Y11983D1*
-X12855Y12041D1*
-X12736Y12160D1*
-X12730Y12111D1*
-X11304D1*
-X11437Y11981D1*
-X12250D1*
-X12374Y11953D1*
-X12421Y11926D1*
-X27707Y18894D2*
-X27677Y18864D1*
-X27567Y18806D1*
-X27445Y18784D1*
-X27321Y18801D1*
-X27209Y18855D1*
-X27118Y18941D1*
-X27058Y19050D1*
-X27035Y19172D1*
-X27049Y19295D1*
-X27101Y19409D1*
-X27185Y19501D1*
-X27293Y19563D1*
-X27414Y19589D1*
-X27538Y19577D1*
-X27652Y19527D1*
-X27702Y19484D1*
-X28312Y19481D1*
-X28436Y19453D1*
-X28520Y19395D1*
-X28827Y19089D1*
-X28913Y19077D1*
-X29027Y19027D1*
-X29121Y18945D1*
-X29185Y18839D1*
-X29215Y18687D1*
-X29195Y18564D1*
-X29140Y18453D1*
-X29052Y18364D1*
-X28942Y18306D1*
-X28820Y18284D1*
-X28696Y18301D1*
-X28584Y18355D1*
-X28493Y18441D1*
-X28433Y18550D1*
-X28410Y18676D1*
-X28187Y18893D1*
-X27714D1*
-X35383Y14626D2*
-X35327Y14515D1*
-X35286Y14474D1*
-X35381Y14488D1*
-X35620D1*
-X35616Y14518D1*
-Y14912D1*
-Y15108D1*
-Y15502D1*
-Y15896D1*
-Y16067D1*
-X35516Y15974D1*
-X35402Y15861D1*
-X35383Y15751D1*
-X35327Y15640D1*
-X35286Y15599D1*
-X35373Y15464D1*
-X35402Y15312D1*
-X35383Y15189D1*
-X35327Y15078D1*
-X35286Y15036D1*
-X35373Y14901D1*
-X35402Y14750D1*
-X35383Y14626D1*
-X12730Y15617D2*
-X12726Y15457D1*
-X12730Y15211D1*
-X12726Y15063D1*
-X12730Y14818D1*
-X12726Y14670D1*
-X12730Y14424D1*
-Y14331D1*
-X13975Y15579D1*
-X12875Y15580D1*
-X12750Y15608D1*
-X12730Y15586D1*
-Y15461D1*
-X18578Y17047D2*
-X18582Y17089D1*
-X18578Y17680D1*
-Y18073D1*
-X19887D1*
-X19751Y18207D1*
-X18433Y18204D1*
-X18295Y18064D1*
-Y17044D1*
-X18575D1*
-X18578Y17877D1*
-X18582D1*
+Y13376D1*
+X42015D1*
+X7683Y17716D2*
+X7945D1*
+X7910Y17861D1*
+X7925Y17984D1*
+X7968Y18080D1*
+X7969Y18231D1*
+X4312Y14574D1*
+Y12063D1*
+X5750D1*
+X5855Y12002D1*
+X5875Y11938D1*
+Y10500D1*
+X5814Y10395D1*
+X5750Y10375D1*
+X4312D1*
+Y8625D1*
+X8562D1*
+X8668Y8564D1*
+X8687Y8500D1*
+X8688Y7250D1*
+X10332Y7248D1*
+X10795Y7710D1*
+X10809Y7800D1*
+X10785Y7922D1*
+X10800Y8046D1*
+X10852Y8159D1*
+X10935Y8251D1*
+X10999Y8288D1*
+X10998Y9346D1*
+X11646Y9342D1*
+X11842Y9346D1*
+X11961D1*
+X11962Y9491D1*
+X11934Y9550D1*
+X11910Y9672D1*
+X11925Y9796D1*
+X11977Y9909D1*
+X12058Y9999D1*
+X11994Y10066D1*
+X11934Y10175D1*
+X11910Y10298D1*
+X11925Y10421D1*
+X11969Y10517D1*
+Y10981D1*
+X11785Y10985D1*
+X11588Y10981D1*
+X11194D1*
+X10998D1*
+Y12275D1*
+X10855Y12396D1*
+Y12361D1*
+X9144D1*
+Y12905D1*
+X8156Y12907D1*
+Y10458D1*
+X8642Y10455D1*
+Y9295D1*
+X7483D1*
+X7478Y10046D1*
+X7469Y10250D1*
+Y13434D1*
+X7328Y13437D1*
+Y14785D1*
+X6963Y14782D1*
+X6880Y14745D1*
+X6757Y14723D1*
+X6634Y14740D1*
+X6522Y14794D1*
+X6431Y14879D1*
+X6371Y14988D1*
+X6347Y15111D1*
+X6362Y15234D1*
+X6414Y15347D1*
+X6497Y15440D1*
+X6605Y15502D1*
+X6727Y15528D1*
+X6851Y15515D1*
+X6954Y15471D1*
+X8340Y15469D1*
+X8342Y15723D1*
+X7185Y15721D1*
+X6876D1*
+Y17097D1*
+X7559D1*
+X7558Y17716D1*
+X7683D1*
+X26131Y18033D2*
+Y17536D1*
+X25247D1*
+Y17743D1*
+X25180Y17678D1*
+X25069Y17620D1*
+X24947Y17598D1*
+X24823Y17615D1*
+X24711Y17669D1*
+X24691Y17688D1*
+X24569Y17620D1*
+X24447Y17598D1*
+X24323Y17615D1*
+X24211Y17669D1*
+X24163Y17714D1*
+X24117Y17678D1*
+X24007Y17620D1*
+X23884Y17598D1*
+X23761Y17615D1*
+X23649Y17669D1*
+X23629Y17688D1*
+X23507Y17620D1*
+X23384Y17598D1*
+X23261Y17615D1*
+X23149Y17669D1*
+X23129Y17688D1*
+X23007Y17620D1*
+X22888Y17599D1*
+X22690Y17398D1*
+X22581Y17330D1*
+X22481Y17311D1*
+X18415D1*
+X18410Y17282D1*
+X18414Y17210D1*
+X18477Y17203D1*
+X18591Y17154D1*
+X18635Y17115D1*
+X18871Y17113D1*
+X18993Y17086D1*
+X19079Y17027D1*
+X19272Y16834D1*
+X19340Y16726D1*
+X19358Y16626D1*
+X19360Y15746D1*
+X21959Y13146D1*
+X21957Y14313D1*
+X21985Y14437D1*
+X22043Y14521D1*
+X22333Y14811D1*
+X21724Y14809D1*
+Y15693D1*
+X22368D1*
+X22432Y15808D1*
+X22530Y15884D1*
+X22653Y15920D1*
+X22794Y15923D1*
+X22801Y16100D1*
+X22797Y16346D1*
+X22801Y16494D1*
+X22797Y16739D1*
+Y16887D1*
+X23956D1*
+Y16860D1*
+X25752Y16858D1*
+X25882Y16860D1*
+X26597Y17575D1*
+X26614Y17672D1*
+X26666Y17785D1*
+X26750Y17877D1*
+X26857Y17939D1*
+X26979Y17966D1*
+X27103Y17953D1*
+X27217Y17904D1*
+X27311Y17822D1*
+X27376Y17711D1*
+X27416Y17703D1*
+X27530Y17654D1*
+X27623Y17572D1*
+X27688Y17465D1*
+X27717Y17320D1*
+X28400Y17995D1*
+X28440Y18032D1*
+X26131D1*
+X25353Y9790D2*
+Y9097D1*
+X24469D1*
+X24473Y9903D1*
+X24469Y10160D1*
+X24467Y10285D1*
+X24392D1*
+X23647Y9542D1*
+X23539Y9474D1*
+X23439Y9456D1*
+X22646D1*
+X22648Y8568D1*
+X22605D1*
+X22554Y8455D1*
+X22257Y8152D1*
+X21397Y7292D1*
+X21289Y7224D1*
+X22246Y7222D1*
+X22412Y7389D1*
+X22426Y7483D1*
+X22478Y7597D1*
+X22562Y7689D1*
+X22670Y7751D1*
+X22791Y7777D1*
+X22915Y7765D1*
+X22997Y7729D1*
+X23045Y7751D1*
+X23166Y7777D1*
+X23290Y7765D1*
+X23404Y7715D1*
+X23498Y7633D1*
+X23562Y7526D1*
+X23592Y7375D1*
+X23573Y7252D1*
+X23563Y7219D1*
+X24895Y7216D1*
+X24946Y7355D1*
+X24920Y7309D1*
+X25041Y7597D1*
+X25124Y7689D1*
+X25232Y7751D1*
+X25354Y7777D1*
+X25478Y7765D1*
+X25592Y7715D1*
+X25624Y7687D1*
+X25732Y7751D1*
+X25854Y7777D1*
+X25978Y7765D1*
+X26092Y7715D1*
+X26186Y7633D1*
+X26250Y7526D1*
+X26279Y7389D1*
+X26349Y7360D1*
+X26364Y7483D1*
+X26416Y7597D1*
+X26455Y7640D1*
+X26457Y8152D1*
+X26437Y8154D1*
+Y9471D1*
+X27085Y9467D1*
+X27282Y9471D1*
+X27354D1*
+X27364Y9609D1*
+X27416Y9722D1*
+X27497Y9811D1*
+X27433Y9879D1*
+X27373Y9988D1*
+X27350Y10110D1*
+X27364Y10234D1*
+X27429Y10361D1*
+X27424Y11104D1*
+X27422Y11111D1*
+X27225Y11107D1*
+X27050D1*
+X27046Y10863D1*
+X27092Y10840D1*
+X27186Y10758D1*
+X27250Y10652D1*
+X27280Y10500D1*
+X27260Y10377D1*
+X27205Y10266D1*
+X27117Y10177D1*
+X27007Y10119D1*
+X26885Y10098D1*
+X26761Y10114D1*
+X26649Y10168D1*
+X26558Y10254D1*
+X26508Y10335D1*
+X26462Y10450D1*
+X26457Y10875D1*
+Y11106D1*
+X26437Y11107D1*
+Y12337D1*
+X26377Y12393D1*
+X26092D1*
+X26073Y12315D1*
+X26017Y12204D1*
+X25930Y12115D1*
+X25820Y12057D1*
+X25770Y12048D1*
+X25769Y11569D1*
+X25546Y11563D1*
+Y10063D1*
+X25520Y9941D1*
+X25443Y9840D1*
+X25413Y9817D1*
+X25353Y9715D1*
+X25350Y9660D1*
+X23889Y11569D2*
+X23507D1*
+X23502Y12393D1*
+X22748Y12394D1*
+X22719Y12387D1*
+X22768Y12337D1*
+X22836Y12229D1*
+X22855Y12129D1*
+Y12094D1*
+X22904Y12090D1*
+Y10852D1*
+X22217Y10856D1*
+X22267Y10852D1*
+X21705Y10856D1*
+X21755Y10852D1*
+X21193Y10856D1*
+X21243Y10852D1*
+X20681Y10856D1*
+X20669Y10814D1*
+X20791Y10840D1*
+X20915Y10827D1*
+X21029Y10778D1*
+X21123Y10696D1*
+X21187Y10589D1*
+X21216Y10438D1*
+X21213Y10418D1*
+X21599Y10420D1*
+X21732Y10501D1*
+X21854Y10527D1*
+X21978Y10515D1*
+X22092Y10465D1*
+X22185Y10383D1*
+X22250Y10277D1*
+X22279Y10125D1*
+X22266Y10044D1*
+X23319Y10047D1*
+X23957Y10685D1*
+X23958Y11091D1*
+X24368D1*
+X23891Y11570D1*
+X27501Y20111D2*
+X27405Y19995D1*
+X27304Y19921D1*
+X27190Y19870D1*
+X27068Y19843D1*
+X26944Y19842D1*
+X26821Y19867D1*
+X26707Y19917D1*
+X26605Y19989D1*
+X26521Y20081D1*
+X26457Y20188D1*
+X26417Y20306D1*
+X26402Y20430D1*
+X26414Y20554D1*
+X26450Y20674D1*
+X26511Y20783D1*
+X26539Y20815D1*
+X24678Y20814D1*
+X25935Y19554D1*
+X26142Y19347D1*
+X28752Y19345D1*
+X30107D1*
+X30170Y19377D1*
+X30292Y19403D1*
+X30416Y19391D1*
+X30505Y19352D1*
+X30502Y20107D1*
+X30405Y19995D1*
+X30304Y19921D1*
+X30190Y19870D1*
+X30068Y19843D1*
+X29944Y19842D1*
+X29821Y19867D1*
+X29707Y19917D1*
+X29605Y19989D1*
+X29503Y20111D1*
+X29405Y19995D1*
+X29304Y19921D1*
+X29190Y19870D1*
+X29068Y19843D1*
+X28944Y19842D1*
+X28821Y19867D1*
+X28707Y19917D1*
+X28605Y19989D1*
+X28503Y20111D1*
+X28405Y19995D1*
+X28304Y19921D1*
+X28190Y19870D1*
+X28068Y19843D1*
+X27944Y19842D1*
+X27821Y19867D1*
+X27707Y19917D1*
+X27605Y19989D1*
+X27503Y20111D1*
+X12696Y10190D2*
+X12640Y10078D1*
+X12567Y10003D1*
+X12622Y9946D1*
+X12686Y9839D1*
+X12716Y9688D1*
+X12696Y9565D1*
+X12649Y9471D1*
+Y9349D1*
+X12827Y9346D1*
+X13024D1*
+X13417D1*
+X13811D1*
+X14008D1*
+X14126D1*
+X14120Y9441D1*
+X14060Y9550D1*
+X14036Y9672D1*
+X14050Y9796D1*
+X14102Y9909D1*
+X14183Y9999D1*
+X14120Y10066D1*
+X14060Y10175D1*
+X14036Y10298D1*
+X14050Y10421D1*
+X14102Y10534D1*
+X14126Y10560D1*
+X14128Y10982D1*
+X13950Y10985D1*
+X13754Y10981D1*
+X13360D1*
+X12966D1*
+X12769D1*
+X12659D1*
+X12657Y10523D1*
+X12686Y10464D1*
+X12716Y10313D1*
+X12696Y10190D1*
+X14822D2*
+X14766Y10078D1*
+X14692Y10003D1*
+X14747Y9946D1*
+X14811Y9839D1*
+X14841Y9688D1*
+X14815Y9530D1*
+Y9346D1*
+X14992Y9342D1*
+X15189Y9346D1*
+X15583D1*
+Y8404D1*
+X15685Y8321D1*
+X15715Y8271D1*
+X15794Y8313D1*
+X15916Y8340D1*
+X16039Y8327D1*
+X16154Y8278D1*
+X16247Y8196D1*
+X16311Y8089D1*
+X16337Y7979D1*
+X16501Y7982D1*
+X16625Y7953D1*
+X16709Y7896D1*
+X16938Y7669D1*
+X17700D1*
+X17622Y7800D1*
+X17599Y7922D1*
+X17613Y8046D1*
+X17665Y8159D1*
+X17749Y8251D1*
+X17857Y8313D1*
+X17978Y8340D1*
+X18102Y8327D1*
+X18184Y8292D1*
+X18232Y8313D1*
+X18353Y8340D1*
+X18477Y8327D1*
+X18559Y8292D1*
+X18607Y8313D1*
+X18728Y8340D1*
+X18852Y8327D1*
+X18877Y8316D1*
+X18982Y8376D1*
+X19103Y8402D1*
+X19200Y8392D1*
+X19249Y8439D1*
+X19357Y8501D1*
+X19478Y8527D1*
+X19575Y8517D1*
+X19661Y8585D1*
+X19658Y8783D1*
+X18439Y8781D1*
+X18316Y8804D1*
+X18196Y8882D1*
+X17845Y9233D1*
+X17759Y9222D1*
+X17635Y9239D1*
+X17523Y9293D1*
+X17432Y9379D1*
+X17372Y9488D1*
+X17349Y9610D1*
+X17363Y9734D1*
+X17415Y9847D1*
+X17499Y9939D1*
+X17596Y9995D1*
+X17557Y10129D1*
+X17497Y10238D1*
+X17474Y10360D1*
+X17488Y10484D1*
+X17540Y10597D1*
+X17605Y10669D1*
+X17599Y10735D1*
+X17613Y10859D1*
+X17665Y10972D1*
+X17749Y11064D1*
+X17799Y11093D1*
+X17333Y11094D1*
+X16456Y10220D1*
+X16391Y10078D1*
+X16304Y9990D1*
+X16193Y9932D1*
+X16071Y9910D1*
+X15948Y9927D1*
+X15835Y9981D1*
+X15745Y10066D1*
+X15685Y10175D1*
+X15661Y10298D1*
+X15675Y10421D1*
+X15727Y10534D1*
+X15811Y10627D1*
+X15919Y10689D1*
+X15961Y10698D1*
+X16945Y11681D1*
+X17048Y11751D1*
+X17188Y11781D1*
+X19356D1*
+X19374Y11797D1*
+X19254Y11894D1*
+X15778Y11893D1*
+X15779Y10981D1*
+X15131Y10985D1*
+X14935Y10981D1*
+X14816D1*
+X14815Y10452D1*
+X14841Y10313D1*
+X14822Y10190D1*
+X28000Y9812D2*
+X28061Y9758D1*
+X28125Y9652D1*
+X28155Y9500D1*
+X28151Y9473D1*
+X28463Y9471D1*
+X28857D1*
+X29251D1*
+X29447D1*
+X29478D1*
+X29489Y9609D1*
+X29541Y9722D1*
+X29622Y9811D1*
+X29559Y9879D1*
+X29499Y9988D1*
+X29475Y10110D1*
+X29489Y10234D1*
+X29541Y10347D1*
+X29586Y10396D1*
+X29587Y10538D1*
+X29511Y10552D1*
+X29399Y10606D1*
+X29309Y10691D1*
+X29284Y10736D1*
+X29267Y10703D1*
+X29180Y10615D1*
+X29070Y10557D1*
+X28947Y10535D1*
+X28824Y10552D1*
+X28712Y10606D1*
+X28621Y10691D1*
+X28561Y10800D1*
+X28537Y10923D1*
+X28552Y11046D1*
+X28579Y11106D1*
+X28406Y11111D1*
+X28209Y11107D1*
+X28075D1*
+Y10364D1*
+X28125Y10277D1*
+X28155Y10125D1*
+X28135Y10002D1*
+X28080Y9891D1*
+X28006Y9816D1*
+X30261Y10002D2*
+X30205Y9891D1*
+X30131Y9816D1*
+X30186Y9758D1*
+X30250Y9652D1*
+X30280Y9500D1*
+X30276Y9473D1*
+X30432Y9467D1*
+X30629Y9471D1*
+X31022D1*
+Y8545D1*
+X31911Y8544D1*
+X31909Y8791D1*
+X31774Y8868D1*
+X31698Y8966D1*
+X31661Y9089D1*
+X31659Y9293D1*
+X31624Y9363D1*
+X31601Y9481D1*
+X31524Y9538D1*
+X31405Y9656D1*
+X31343Y9748D1*
+X31319Y9864D1*
+Y11384D1*
+X31235Y11523D1*
+X31219Y11604D1*
+Y11107D1*
+X31200D1*
+X31218Y11000D1*
+X31198Y10877D1*
+X31142Y10766D1*
+X31055Y10677D1*
+X30945Y10619D1*
+X30822Y10598D1*
+X30699Y10615D1*
+X30590Y10667D1*
+X30592Y10625D1*
+X30573Y10502D1*
+X30517Y10391D1*
+X30430Y10302D1*
+X30320Y10244D1*
+X30262Y10234D1*
+X30280Y10125D1*
+X30261Y10002D1*
+X19466Y12960D2*
+X19540Y12953D1*
+X19654Y12903D1*
+X19748Y12821D1*
+X19816Y12695D1*
+X19904Y12653D1*
+X19998Y12571D1*
+X20063Y12461D1*
+X20102Y12453D1*
+X20217Y12403D1*
+X20310Y12321D1*
+X20374Y12214D1*
+X20403Y12090D1*
+X20456D1*
+X20455Y12506D1*
+X19563Y13398D1*
+X19591Y13250D1*
+X19572Y13127D1*
+X19516Y13016D1*
+X19464Y12963D1*
+X15134Y14378D2*
+X15078Y14266D1*
+X15043Y14230D1*
+X15047Y14183D1*
+X15521Y13709D1*
+X15589Y13601D1*
+X15608Y13501D1*
+Y13356D1*
+X16458Y13357D1*
+X16370Y13442D1*
+X16310Y13551D1*
+X16286Y13673D1*
+X16301Y13797D1*
+X16344Y13892D1*
+X16345Y15251D1*
+X16367Y15373D1*
+X16445Y15494D1*
+X16635Y15683D1*
+X16702Y15730D1*
+X16707Y15764D1*
+X16703Y16010D1*
+X16707Y16158D1*
+X16703Y16404D1*
+X16707Y16552D1*
+X16703Y16703D1*
+X16169Y16706D1*
+X15933Y16704D1*
+X14608Y15376D1*
+Y14880D1*
+X14728Y14903D1*
+X14852Y14890D1*
+X14966Y14841D1*
+X15060Y14759D1*
+X15124Y14652D1*
+X15153Y14501D1*
+X15134Y14378D1*
+X18206Y11095D2*
+X18310Y11008D1*
+X18374Y10902D1*
+X18387Y10845D1*
+X18439Y10795D1*
+X20630D1*
+X20613Y10852D1*
+X20425Y10856D1*
+X20476Y10852D1*
+X19913Y10856D1*
+X19970Y10852D1*
+X19658D1*
+Y11043D1*
+X19571Y11035D1*
+X19448Y11052D1*
+X19360Y11094D1*
+X18208D1*
+X12340Y14585D2*
+X12414Y14578D1*
+X12528Y14528D1*
+X12622Y14446D1*
+X12648Y14403D1*
+X12727Y14390D1*
+X12841Y14341D1*
+X12934Y14259D1*
+X12960Y14215D1*
+X13039Y14203D1*
+X13153Y14153D1*
+X13247Y14071D1*
+X13311Y13965D1*
+X13341Y13813D1*
+X13333Y13763D1*
+X13402Y13766D1*
+X13498Y13877D1*
+X13606Y13939D1*
+X13728Y13965D1*
+X13852Y13953D1*
+X13966Y13903D1*
+X14060Y13821D1*
+X14124Y13715D1*
+X14149Y13605D1*
+X14348Y13607D1*
+X14481Y13689D1*
+X14515Y13696D1*
+X14103Y14108D1*
+X13995Y14254D1*
+X13935Y14363D1*
+X13911Y14485D1*
+X13925Y14609D1*
+X13977Y14722D1*
+X14016Y14766D1*
+X14019Y15501D1*
+X14047Y15625D1*
+X14105Y15709D1*
+X15605Y17209D1*
+X15713Y17277D1*
+X15813Y17295D1*
+X16700D1*
+X16707Y17339D1*
+X16703Y17930D1*
+Y18022D1*
+X15560Y18019D1*
+X12241Y14700D1*
+X12309Y14634D1*
+X12335Y14590D1*
+X12256Y17355D2*
+X12293Y17377D1*
+X12415Y17403D1*
+X12539Y17391D1*
+X12653Y17341D1*
+X12747Y17259D1*
+X12811Y17152D1*
+X12833Y17058D1*
+X13424Y17653D1*
+X14664Y18894D1*
+X13370Y18892D1*
+X11848Y17370D1*
+X12040Y17403D1*
+X12164Y17391D1*
+X12246Y17355D1*
+X31402Y12356D2*
+X31386Y12252D1*
+X31330Y12141D1*
+X31243Y12052D1*
+X31219Y12040D1*
+Y11740D1*
+X31277Y11882D1*
+X31361Y11975D1*
+X31469Y12037D1*
+X31591Y12063D1*
+X31715Y12051D1*
+X31829Y12001D1*
+X31908Y11932D1*
+X31909Y12028D1*
+X32596Y12024D1*
+X32540Y12028D1*
+X32680D1*
+X31982Y12730D1*
+X31914Y12838D1*
+X31945Y12777D1*
+X31846Y12803D1*
+X31407Y12364D1*
+X28590Y13285D2*
+X28592Y13265D1*
+X28653Y13287D1*
+X28587D1*
+X28762Y13094D1*
+X28908Y12949D1*
+X29275Y13315D1*
+X29155Y13316D1*
+X29153Y13287D1*
+X28653D1*
+X33136Y7439D2*
+X33080Y7328D1*
+X33056Y7303D1*
+X33158Y7198D1*
+X33762D1*
+X32554Y8408D1*
+X32483Y8506D1*
+X32421Y8510D1*
+X32471Y8506D1*
+X32084D1*
+X32148Y8458D1*
+X32654Y7953D1*
+X32730Y7964D1*
+X32854Y7952D1*
+X32968Y7903D1*
+X33062Y7821D1*
+X33126Y7714D1*
+X33155Y7562D1*
+X33136Y7439D1*
+X29136Y17628D2*
+X29080Y17517D1*
+X28992Y17428D1*
+X28882Y17370D1*
+X28760Y17348D1*
+X28636Y17365D1*
+X28612Y17377D1*
+X28331Y17101D1*
+X28119Y16887D1*
+X29153D1*
+Y16860D1*
+X30351Y16858D1*
+X30364Y16922D1*
+X30416Y17035D1*
+X30500Y17127D1*
+X30608Y17189D1*
+X30730Y17215D1*
+X30853Y17203D1*
+X30968Y17154D1*
+X31061Y17072D1*
+X31125Y16965D1*
+X31144Y16885D1*
+X31614Y16887D1*
+Y16269D1*
+X31780Y16267D1*
+X31905Y16239D1*
+X31989Y16181D1*
+X32875Y15294D1*
+X32878Y16563D1*
+Y16823D1*
+X30718Y18983D1*
+X30698Y18878D1*
+X30651Y18784D1*
+X30688Y18715D1*
+X30717Y18564D1*
+X30698Y18441D1*
+X30651Y18347D1*
+X30688Y18278D1*
+X30717Y18126D1*
+X30698Y18003D1*
+X30642Y17892D1*
+X30555Y17803D1*
+X30445Y17745D1*
+X30322Y17723D1*
+X30199Y17740D1*
+X30087Y17794D1*
+X29996Y17880D1*
+X29936Y17989D1*
+X29930Y18023D1*
+X29690Y18032D1*
+X29037D1*
+X29061Y18009D1*
+X29125Y17902D1*
+X29155Y17751D1*
+X29136Y17628D1*
+X9625Y18324D2*
+X10855D1*
+Y18296D1*
+X11004D1*
+X12426Y19719D1*
+X11020Y19720D1*
+X9618Y18321D1*
+X29570Y12609D2*
+X30041Y13081D1*
+X29872Y13079D1*
+X29422Y12625D1*
+Y12434D1*
+X29482Y12521D1*
+X29570Y12609D1*
+X32734Y13688D2*
+X32737Y13183D1*
+X33496Y12423D1*
+X33491Y13095D1*
+X32732Y13855D1*
+X32734Y13688D1*
D19*
-X42687Y17812D3*
+X41378Y13063D3*
D20*
-X34616D3*
+X33308D3*
D21*
-X8074Y19500D3*
-X7562D3*
+X37363Y12543D3*
D22*
-X8312Y10937D3*
+X8000Y17313D3*
+X7488D3*
D23*
-X7312D3*
+X8062Y9875D3*
D24*
-X20580Y12505D3*
-Y13017D3*
-D21*
-X24501Y13688D3*
-X25013D3*
-X30312Y16374D3*
-X29800D3*
-X24913Y10364D3*
-X25425D3*
+X7062D3*
+D22*
+X25689Y17939D3*
+X26201D3*
D25*
-X26325Y10938D3*
-X27427D3*
+X22126Y15251D3*
+Y15763D3*
+D22*
+X24400Y9500D3*
+X24911D3*
D26*
+X25189Y12188D3*
+X24087D3*
+D27*
X9186Y5399D3*
X10186D3*
X11186D3*
@@ -3815,274 +3001,279 @@ X35186D3*
X36186D3*
X37186D3*
X38186D3*
-D27*
-X29125Y21000D3*
-D28*
-X30125D3*
-X31125D3*
-X32125D3*
-X33125D3*
-D21*
-X24924Y11436D3*
-X25435D3*
-D32*
-X11875Y17750D3*
-Y17553D3*
-Y17356D3*
-Y17159D3*
-Y16962D3*
-Y16765D3*
-Y16568D3*
-Y16372D3*
-Y16175D3*
-Y15978D3*
-Y15781D3*
-Y15584D3*
-Y15387D3*
-Y15190D3*
-Y14994D3*
-Y14797D3*
-Y14600D3*
-Y14403D3*
-Y14206D3*
-Y14009D3*
-Y13812D3*
-Y13616D3*
-Y13419D3*
-Y13222D3*
-Y13025D3*
-Y12828D3*
-Y12631D3*
-Y12435D3*
-X19434D3*
-Y12631D3*
-Y12828D3*
-Y13025D3*
-Y13222D3*
-Y13419D3*
-Y13616D3*
-Y13812D3*
-Y14009D3*
-Y14206D3*
-Y14403D3*
-Y14600D3*
-Y14797D3*
-Y14994D3*
-Y15190D3*
-Y15387D3*
-Y15584D3*
-Y15781D3*
-Y15978D3*
-Y16175D3*
-Y16372D3*
-Y16568D3*
-Y16765D3*
-Y16962D3*
-Y17159D3*
-Y17356D3*
-Y17553D3*
-Y17750D3*
-D30*
-X13375Y8812D3*
-X13571D3*
-X13768D3*
-X13965D3*
-X14162D3*
-X14359D3*
-X14556D3*
-X14752D3*
-X14949D3*
-X15146D3*
-X15343D3*
-X15540D3*
-X15737D3*
-X15934D3*
-X16130D3*
-X16327D3*
-X16524D3*
-X16721D3*
-X16918D3*
-X17115D3*
-X17312D3*
-X17508D3*
-X17705D3*
-X17902D3*
-Y11765D3*
-X17705D3*
-X17508D3*
-X17312D3*
-X17115D3*
-X16918D3*
-X16721D3*
-X16524D3*
-X16327D3*
-X16130D3*
-X15934D3*
-X15737D3*
-X15540D3*
-X15343D3*
-X15146D3*
-X14949D3*
-X14752D3*
-X14556D3*
-X14359D3*
-X14162D3*
-X13965D3*
-X13768D3*
-X13571D3*
-X13375D3*
+G36*
+X25667Y20773D2*
+X26336D1*
+Y20104D1*
+X25667D1*
+Y20773D1*
+G37*
+D20*
+X27002Y20439D3*
+X28002D3*
+X29002D3*
+X30002D3*
+D22*
+X24400Y10063D3*
+X24911D3*
+X24400Y10688D3*
+X24911D3*
D31*
-X31000Y16556D3*
-Y16359D3*
-Y16162D3*
-Y15966D3*
-Y15769D3*
-Y15572D3*
-Y15375D3*
-Y15178D3*
-Y14981D3*
-Y14784D3*
-Y14588D3*
-Y14391D3*
-Y14194D3*
-Y13997D3*
-Y13800D3*
-Y13603D3*
-X36196D3*
-Y13800D3*
-Y13997D3*
-Y14194D3*
-Y14391D3*
-Y14588D3*
-Y14784D3*
-Y14981D3*
-Y15178D3*
-Y15375D3*
-Y15572D3*
-Y15769D3*
-Y15966D3*
-Y16162D3*
-Y16359D3*
-Y16556D3*
-X38657Y13603D3*
-Y13800D3*
-Y13997D3*
-Y14194D3*
-Y14391D3*
-Y14588D3*
-Y14784D3*
-Y14981D3*
-Y15178D3*
-Y15375D3*
-Y15572D3*
-Y15769D3*
-Y15966D3*
-Y16162D3*
-Y16359D3*
-Y16556D3*
-D35*
-X6937Y16375D3*
-X7843D3*
-X8748D3*
-D36*
-X7843Y18658D3*
-D30*
-X29875Y8812D3*
-X30071D3*
-X30268D3*
-X30465D3*
-X30662D3*
-X30859D3*
-X31056D3*
-X31252D3*
-X31449D3*
-X31646D3*
-X31843D3*
-X32040D3*
-X32237D3*
-X32434D3*
-X32630D3*
-X32827D3*
-X33024D3*
-X33221D3*
-X33418D3*
-X33615D3*
-X33812D3*
-X34008D3*
-X34205D3*
-X34402D3*
-Y11765D3*
-X34205D3*
-X34008D3*
-X33812D3*
-X33615D3*
-X33418D3*
-X33221D3*
-X33024D3*
-X32827D3*
-X32630D3*
-X32434D3*
-X32237D3*
-X32040D3*
-X31843D3*
-X31646D3*
-X31449D3*
-X31252D3*
-X31056D3*
-X30859D3*
-X30662D3*
-X30465D3*
-X30268D3*
-X30071D3*
-X29875D3*
-D37*
-X23564Y13688D3*
-Y13944D3*
-Y14200D3*
+X6875Y14126D3*
+X7780D3*
+X8686D3*
+D32*
+X7780Y16409D3*
+D33*
+X10000Y18000D3*
+Y17803D3*
+Y17606D3*
+Y17409D3*
+Y17212D3*
+Y17015D3*
+Y16818D3*
+Y16622D3*
+Y16425D3*
+Y16228D3*
+Y16031D3*
+Y15834D3*
+Y15637D3*
+Y15440D3*
+Y15244D3*
+Y15047D3*
+Y14850D3*
+Y14653D3*
Y14456D3*
-Y14712D3*
-Y14968D3*
-Y15223D3*
-Y15479D3*
-Y15735D3*
-Y15991D3*
-Y16247D3*
-Y16503D3*
-X21280D3*
-Y16247D3*
-Y15991D3*
-Y15735D3*
-Y15479D3*
-Y15223D3*
-Y14968D3*
-Y14712D3*
+Y14259D3*
+Y14063D3*
+Y13866D3*
+Y13669D3*
+Y13472D3*
+Y13275D3*
+Y13078D3*
+Y12881D3*
+Y12685D3*
+X17559D3*
+Y12881D3*
+Y13078D3*
+Y13275D3*
+Y13472D3*
+Y13669D3*
+Y13866D3*
+Y14063D3*
+Y14259D3*
Y14456D3*
-Y14200D3*
-Y13944D3*
-Y13688D3*
-D38*
-X35924Y9201D3*
-X36180D3*
-X36436D3*
-X36692D3*
-X36948D3*
-X37204D3*
-X37460D3*
-X37716D3*
-X37971D3*
-X38227D3*
-X38483D3*
-X38739D3*
-Y11485D3*
-X38483D3*
-X38227D3*
-X37971D3*
-X37716D3*
-X37460D3*
-X37204D3*
-X36948D3*
-X36692D3*
-X36436D3*
-X36180D3*
-X35924D3*
+Y14653D3*
+Y14850D3*
+Y15047D3*
+Y15244D3*
+Y15440D3*
+Y15637D3*
+Y15834D3*
+Y16031D3*
+Y16228D3*
+Y16425D3*
+Y16622D3*
+Y16818D3*
+Y17015D3*
+Y17212D3*
+Y17409D3*
+Y17606D3*
+Y17803D3*
+Y18000D3*
+D29*
+X23377Y16563D3*
+Y16366D3*
+Y16170D3*
+Y15973D3*
+Y15776D3*
+Y15579D3*
+Y15382D3*
+Y15185D3*
+Y14989D3*
+Y14792D3*
+Y14595D3*
+Y14398D3*
+Y14201D3*
+Y14004D3*
+Y13807D3*
+Y13611D3*
+X28573D3*
+Y13807D3*
+Y14004D3*
+Y14201D3*
+Y14398D3*
+Y14595D3*
+Y14792D3*
+Y14989D3*
+Y15185D3*
+Y15382D3*
+Y15579D3*
+Y15776D3*
+Y15973D3*
+Y16170D3*
+Y16366D3*
+Y16563D3*
+X31034Y13611D3*
+Y13807D3*
+Y14004D3*
+Y14201D3*
+Y14398D3*
+Y14595D3*
+Y14792D3*
+Y14989D3*
+Y15185D3*
+Y15382D3*
+Y15579D3*
+Y15776D3*
+Y15973D3*
+Y16170D3*
+Y16366D3*
+Y16563D3*
+D35*
+X11125Y8687D3*
+X11322D3*
+X11518D3*
+X11715D3*
+X11912D3*
+X12109D3*
+X12306D3*
+X12503D3*
+X12700D3*
+X12896D3*
+X13093D3*
+X13290D3*
+X13487D3*
+X13684D3*
+X13881D3*
+X14077D3*
+X14274D3*
+X14471D3*
+X14668D3*
+X14865D3*
+X15062D3*
+X15259D3*
+X15455D3*
+X15652D3*
+Y11640D3*
+X15455D3*
+X15259D3*
+X15062D3*
+X14865D3*
+X14668D3*
+X14471D3*
+X14274D3*
+X14077D3*
+X13881D3*
+X13684D3*
+X13487D3*
+X13290D3*
+X13093D3*
+X12896D3*
+X12700D3*
+X12503D3*
+X12306D3*
+X12109D3*
+X11912D3*
+X11715D3*
+X11518D3*
+X11322D3*
+X11125D3*
+X26564Y8813D3*
+X26761D3*
+X26958D3*
+X27155D3*
+X27352D3*
+X27549D3*
+X27745D3*
+X27942D3*
+X28139D3*
+X28336D3*
+X28533D3*
+X28730D3*
+X28927D3*
+X29123D3*
+X29320D3*
+X29517D3*
+X29714D3*
+X29911D3*
+X30108D3*
+X30305D3*
+X30501D3*
+X30698D3*
+X30895D3*
+X31092D3*
+Y11765D3*
+X30895D3*
+X30698D3*
+X30501D3*
+X30305D3*
+X30108D3*
+X29911D3*
+X29714D3*
+X29517D3*
+X29320D3*
+X29123D3*
+X28927D3*
+X28730D3*
+X28533D3*
+X28336D3*
+X28139D3*
+X27942D3*
+X27745D3*
+X27549D3*
+X27352D3*
+X27155D3*
+X26958D3*
+X26761D3*
+X26564D3*
+D36*
+X20001Y9188D3*
+X20257D3*
+X20513D3*
+X20769D3*
+X21025D3*
+X21281D3*
+X21537D3*
+X21793D3*
+X22048D3*
+X22304D3*
+X22560D3*
+X22816D3*
+Y11471D3*
+X22560D3*
+X22304D3*
+X22048D3*
+X21793D3*
+X21537D3*
+X21281D3*
+X21025D3*
+X20769D3*
+X20513D3*
+X20257D3*
+X20001D3*
+X32252Y9125D3*
+X32508D3*
+X32764D3*
+X33020D3*
+X33276D3*
+X33532D3*
+X33788D3*
+X34044D3*
+X34300D3*
+X34556D3*
+X34812D3*
+X35067D3*
+Y11409D3*
+X34812D3*
+X34556D3*
+X34300D3*
+X34044D3*
+X33788D3*
+X33532D3*
+X33276D3*
+X33020D3*
+X32764D3*
+X32508D3*
+X32252D3*
M02*
diff --git a/board/TopMask.gbr b/board/TopMask.gbr
index 0e673e2..102b211 100644
--- a/board/TopMask.gbr
+++ b/board/TopMask.gbr
@@ -1,23 +1,21 @@
G04 DipTrace 2.4.0.2*
%INTopMask.gbr*%
%MOIN*%
-%ADD19R,0.0748X0.0748*%
-%ADD20C,0.0748*%
-%ADD44R,0.0236X0.0787*%
-%ADD46R,0.0787X0.0236*%
-%ADD48R,0.1358X0.0925*%
-%ADD50R,0.0453X0.0925*%
-%ADD54R,0.126X0.0197*%
-%ADD56R,0.0709X0.0197*%
-%ADD58R,0.0197X0.0709*%
-%ADD62R,0.0787X0.2638*%
-%ADD64R,0.0709X0.0787*%
-%ADD66R,0.0354X0.0433*%
-%ADD68C,0.0709*%
-%ADD70R,0.0709X0.0709*%
-%ADD72R,0.0433X0.0354*%
-%ADD74C,0.0827*%
-%ADD75R,0.0827X0.0827*%
+%ADD42R,0.0236X0.0787*%
+%ADD44R,0.0197X0.0866*%
+%ADD48R,0.126X0.0197*%
+%ADD50R,0.1358X0.0925*%
+%ADD52R,0.0453X0.0925*%
+%ADD54R,0.0709X0.0197*%
+%ADD58R,0.0787X0.2638*%
+%ADD60R,0.0709X0.0787*%
+%ADD62R,0.0354X0.0433*%
+%ADD64C,0.0709*%
+%ADD66R,0.0709X0.0709*%
+%ADD68R,0.0433X0.0354*%
+%ADD70R,0.0866X0.1654*%
+%ADD72C,0.0748*%
+%ADD74R,0.0827X0.0827*%
%FSLAX44Y44*%
G04*
G70*
@@ -26,31 +24,32 @@ G75*
G01*
%LNTopMask*%
%LPD*%
-D75*
-X42687Y17812D3*
D74*
-X34616D3*
+X41378Y13063D3*
D72*
-X8074Y19500D3*
-X7562D3*
+X33308D3*
D70*
-X8312Y10937D3*
+X37363Y12543D3*
D68*
-X7312D3*
+X8000Y17313D3*
+X7488D3*
D66*
-X20580Y12505D3*
-Y13017D3*
-D72*
-X24501Y13688D3*
-X25013D3*
-X30312Y16374D3*
-X29800D3*
-X24913Y10364D3*
-X25425D3*
+X8062Y9875D3*
D64*
-X26325Y10938D3*
-X27427D3*
+X7062D3*
+D68*
+X25689Y17939D3*
+X26201D3*
D62*
+X22126Y15251D3*
+Y15763D3*
+D68*
+X24400Y9500D3*
+X24911D3*
+D60*
+X25189Y12188D3*
+X24087D3*
+D58*
X9186Y5399D3*
X10186D3*
X11186D3*
@@ -81,274 +80,279 @@ X35186D3*
X36186D3*
X37186D3*
X38186D3*
-D19*
-X29125Y21000D3*
-D20*
-X30125D3*
-X31125D3*
-X32125D3*
-X33125D3*
+G36*
+X25628Y20813D2*
+X26376D1*
+Y20065D1*
+X25628D1*
+Y20813D1*
+G37*
D72*
-X24924Y11436D3*
-X25435D3*
-D54*
-X11875Y17750D3*
-Y17553D3*
-Y17356D3*
-Y17159D3*
-Y16962D3*
-Y16765D3*
-Y16568D3*
-Y16372D3*
-Y16175D3*
-Y15978D3*
-Y15781D3*
-Y15584D3*
-Y15387D3*
-Y15190D3*
-Y14994D3*
-Y14797D3*
-Y14600D3*
-Y14403D3*
-Y14206D3*
-Y14009D3*
-Y13812D3*
-Y13616D3*
-Y13419D3*
-Y13222D3*
-Y13025D3*
-Y12828D3*
-Y12631D3*
-Y12435D3*
-X19434D3*
-Y12631D3*
-Y12828D3*
-Y13025D3*
-Y13222D3*
-Y13419D3*
-Y13616D3*
-Y13812D3*
-Y14009D3*
-Y14206D3*
-Y14403D3*
-Y14600D3*
-Y14797D3*
-Y14994D3*
-Y15190D3*
-Y15387D3*
-Y15584D3*
-Y15781D3*
-Y15978D3*
-Y16175D3*
-Y16372D3*
-Y16568D3*
-Y16765D3*
-Y16962D3*
-Y17159D3*
-Y17356D3*
-Y17553D3*
-Y17750D3*
-D58*
-X13375Y8812D3*
-X13571D3*
-X13768D3*
-X13965D3*
-X14162D3*
-X14359D3*
-X14556D3*
-X14752D3*
-X14949D3*
-X15146D3*
-X15343D3*
-X15540D3*
-X15737D3*
-X15934D3*
-X16130D3*
-X16327D3*
-X16524D3*
-X16721D3*
-X16918D3*
-X17115D3*
-X17312D3*
-X17508D3*
-X17705D3*
-X17902D3*
-Y11765D3*
-X17705D3*
-X17508D3*
-X17312D3*
-X17115D3*
-X16918D3*
-X16721D3*
-X16524D3*
-X16327D3*
-X16130D3*
-X15934D3*
-X15737D3*
-X15540D3*
-X15343D3*
-X15146D3*
-X14949D3*
-X14752D3*
-X14556D3*
-X14359D3*
-X14162D3*
-X13965D3*
-X13768D3*
-X13571D3*
-X13375D3*
-D56*
-X31000Y16556D3*
-Y16359D3*
-Y16162D3*
-Y15966D3*
-Y15769D3*
-Y15572D3*
-Y15375D3*
-Y15178D3*
-Y14981D3*
-Y14784D3*
-Y14588D3*
-Y14391D3*
-Y14194D3*
-Y13997D3*
-Y13800D3*
-Y13603D3*
-X36196D3*
-Y13800D3*
-Y13997D3*
-Y14194D3*
-Y14391D3*
-Y14588D3*
-Y14784D3*
-Y14981D3*
-Y15178D3*
-Y15375D3*
-Y15572D3*
-Y15769D3*
-Y15966D3*
-Y16162D3*
-Y16359D3*
-Y16556D3*
-X38657Y13603D3*
-Y13800D3*
-Y13997D3*
-Y14194D3*
-Y14391D3*
-Y14588D3*
-Y14784D3*
-Y14981D3*
-Y15178D3*
-Y15375D3*
-Y15572D3*
-Y15769D3*
-Y15966D3*
-Y16162D3*
-Y16359D3*
-Y16556D3*
+X27002Y20439D3*
+X28002D3*
+X29002D3*
+X30002D3*
+D68*
+X24400Y10063D3*
+X24911D3*
+X24400Y10688D3*
+X24911D3*
+D52*
+X6875Y14126D3*
+X7780D3*
+X8686D3*
D50*
-X6937Y16375D3*
-X7843D3*
-X8748D3*
+X7780Y16409D3*
D48*
-X7843Y18658D3*
-D58*
-X29875Y8812D3*
-X30071D3*
-X30268D3*
-X30465D3*
-X30662D3*
-X30859D3*
-X31056D3*
-X31252D3*
-X31449D3*
-X31646D3*
-X31843D3*
-X32040D3*
-X32237D3*
-X32434D3*
-X32630D3*
-X32827D3*
-X33024D3*
-X33221D3*
-X33418D3*
-X33615D3*
-X33812D3*
-X34008D3*
-X34205D3*
-X34402D3*
-Y11765D3*
-X34205D3*
-X34008D3*
-X33812D3*
-X33615D3*
-X33418D3*
-X33221D3*
-X33024D3*
-X32827D3*
-X32630D3*
-X32434D3*
-X32237D3*
-X32040D3*
-X31843D3*
-X31646D3*
-X31449D3*
-X31252D3*
-X31056D3*
-X30859D3*
-X30662D3*
-X30465D3*
-X30268D3*
-X30071D3*
-X29875D3*
-D46*
-X23564Y13688D3*
-Y13944D3*
-Y14200D3*
+X10000Y18000D3*
+Y17803D3*
+Y17606D3*
+Y17409D3*
+Y17212D3*
+Y17015D3*
+Y16818D3*
+Y16622D3*
+Y16425D3*
+Y16228D3*
+Y16031D3*
+Y15834D3*
+Y15637D3*
+Y15440D3*
+Y15244D3*
+Y15047D3*
+Y14850D3*
+Y14653D3*
Y14456D3*
-Y14712D3*
-Y14968D3*
-Y15223D3*
-Y15479D3*
-Y15735D3*
-Y15991D3*
-Y16247D3*
-Y16503D3*
-X21280D3*
-Y16247D3*
-Y15991D3*
-Y15735D3*
-Y15479D3*
-Y15223D3*
-Y14968D3*
-Y14712D3*
+Y14259D3*
+Y14063D3*
+Y13866D3*
+Y13669D3*
+Y13472D3*
+Y13275D3*
+Y13078D3*
+Y12881D3*
+Y12685D3*
+X17559D3*
+Y12881D3*
+Y13078D3*
+Y13275D3*
+Y13472D3*
+Y13669D3*
+Y13866D3*
+Y14063D3*
+Y14259D3*
Y14456D3*
-Y14200D3*
-Y13944D3*
-Y13688D3*
+Y14653D3*
+Y14850D3*
+Y15047D3*
+Y15244D3*
+Y15440D3*
+Y15637D3*
+Y15834D3*
+Y16031D3*
+Y16228D3*
+Y16425D3*
+Y16622D3*
+Y16818D3*
+Y17015D3*
+Y17212D3*
+Y17409D3*
+Y17606D3*
+Y17803D3*
+Y18000D3*
+D54*
+X23377Y16563D3*
+Y16366D3*
+Y16170D3*
+Y15973D3*
+Y15776D3*
+Y15579D3*
+Y15382D3*
+Y15185D3*
+Y14989D3*
+Y14792D3*
+Y14595D3*
+Y14398D3*
+Y14201D3*
+Y14004D3*
+Y13807D3*
+Y13611D3*
+X28573D3*
+Y13807D3*
+Y14004D3*
+Y14201D3*
+Y14398D3*
+Y14595D3*
+Y14792D3*
+Y14989D3*
+Y15185D3*
+Y15382D3*
+Y15579D3*
+Y15776D3*
+Y15973D3*
+Y16170D3*
+Y16366D3*
+Y16563D3*
+X31034Y13611D3*
+Y13807D3*
+Y14004D3*
+Y14201D3*
+Y14398D3*
+Y14595D3*
+Y14792D3*
+Y14989D3*
+Y15185D3*
+Y15382D3*
+Y15579D3*
+Y15776D3*
+Y15973D3*
+Y16170D3*
+Y16366D3*
+Y16563D3*
D44*
-X35924Y9201D3*
-X36180D3*
-X36436D3*
-X36692D3*
-X36948D3*
-X37204D3*
-X37460D3*
-X37716D3*
-X37971D3*
-X38227D3*
-X38483D3*
-X38739D3*
-Y11485D3*
-X38483D3*
-X38227D3*
-X37971D3*
-X37716D3*
-X37460D3*
-X37204D3*
-X36948D3*
-X36692D3*
-X36436D3*
-X36180D3*
-X35924D3*
+X11125Y8687D3*
+X11322D3*
+X11518D3*
+X11715D3*
+X11912D3*
+X12109D3*
+X12306D3*
+X12503D3*
+X12700D3*
+X12896D3*
+X13093D3*
+X13290D3*
+X13487D3*
+X13684D3*
+X13881D3*
+X14077D3*
+X14274D3*
+X14471D3*
+X14668D3*
+X14865D3*
+X15062D3*
+X15259D3*
+X15455D3*
+X15652D3*
+Y11640D3*
+X15455D3*
+X15259D3*
+X15062D3*
+X14865D3*
+X14668D3*
+X14471D3*
+X14274D3*
+X14077D3*
+X13881D3*
+X13684D3*
+X13487D3*
+X13290D3*
+X13093D3*
+X12896D3*
+X12700D3*
+X12503D3*
+X12306D3*
+X12109D3*
+X11912D3*
+X11715D3*
+X11518D3*
+X11322D3*
+X11125D3*
+X26564Y8813D3*
+X26761D3*
+X26958D3*
+X27155D3*
+X27352D3*
+X27549D3*
+X27745D3*
+X27942D3*
+X28139D3*
+X28336D3*
+X28533D3*
+X28730D3*
+X28927D3*
+X29123D3*
+X29320D3*
+X29517D3*
+X29714D3*
+X29911D3*
+X30108D3*
+X30305D3*
+X30501D3*
+X30698D3*
+X30895D3*
+X31092D3*
+Y11765D3*
+X30895D3*
+X30698D3*
+X30501D3*
+X30305D3*
+X30108D3*
+X29911D3*
+X29714D3*
+X29517D3*
+X29320D3*
+X29123D3*
+X28927D3*
+X28730D3*
+X28533D3*
+X28336D3*
+X28139D3*
+X27942D3*
+X27745D3*
+X27549D3*
+X27352D3*
+X27155D3*
+X26958D3*
+X26761D3*
+X26564D3*
+D42*
+X20001Y9188D3*
+X20257D3*
+X20513D3*
+X20769D3*
+X21025D3*
+X21281D3*
+X21537D3*
+X21793D3*
+X22048D3*
+X22304D3*
+X22560D3*
+X22816D3*
+Y11471D3*
+X22560D3*
+X22304D3*
+X22048D3*
+X21793D3*
+X21537D3*
+X21281D3*
+X21025D3*
+X20769D3*
+X20513D3*
+X20257D3*
+X20001D3*
+X32252Y9125D3*
+X32508D3*
+X32764D3*
+X33020D3*
+X33276D3*
+X33532D3*
+X33788D3*
+X34044D3*
+X34300D3*
+X34556D3*
+X34812D3*
+X35067D3*
+Y11409D3*
+X34812D3*
+X34556D3*
+X34300D3*
+X34044D3*
+X33788D3*
+X33532D3*
+X33276D3*
+X33020D3*
+X32764D3*
+X32508D3*
+X32252D3*
M02*
diff --git a/board/TopSilk.gbr b/board/TopSilk.gbr
index 84f4ca8..ccc5830 100644
--- a/board/TopSilk.gbr
+++ b/board/TopSilk.gbr
@@ -2,7 +2,7 @@ G04 DipTrace 2.4.0.2*
%INTopSilk.gbr*%
%MOIN*%
%ADD10C,0.0098*%
-%ADD34C,0.0154*%
+%ADD30C,0.0154*%
%ADD76C,0.0077*%
%ADD77C,0.0124*%
%ADD78C,0.0062*%
@@ -14,862 +14,862 @@ G75*
G01*
%LNTopSilk*%
%LPD*%
-X9111Y11055D2*
+X8861Y9993D2*
D10*
-Y10819D1*
-X9229Y10937D2*
-X8993D1*
-X6812D2*
-G02X6812Y10937I1000J0D01*
+Y9757D1*
+X8979Y9875D2*
+X8743D1*
+X6562D2*
+G02X6562Y9875I1000J0D01*
G01*
-X26758Y11253D2*
-X26994D1*
-X26758Y10623D2*
-X26994D1*
-X26832D2*
-Y11253D1*
-X26758Y10623D2*
-Y11253D1*
-X28624Y21500D2*
-X33625D1*
-Y20500D1*
-X28624D1*
-Y21500D1*
-X12348Y17848D2*
-Y12336D1*
-X18960D1*
-Y17848D1*
-X15890D1*
-X12348D2*
-X15418D1*
-G03X15890Y17848I236J0D01*
+X24756Y11873D2*
+X24520D1*
+X24756Y12503D2*
+X24520D1*
+X24682D2*
+Y11873D1*
+X24756Y12503D2*
+Y11873D1*
+X25502Y20939D2*
+X30502D1*
+Y19939D1*
+X25502D1*
+Y20939D1*
+D30*
+X6492Y13824D3*
+X6481Y14716D2*
+D10*
+X9079D1*
+X6481Y15818D2*
+X9079D1*
+Y14716D2*
+Y15818D1*
+X6481Y14716D2*
+Y15818D1*
+X10473Y18098D2*
+Y12586D1*
+X17086D1*
+Y18098D1*
+X14015D1*
+X10473D2*
+X13543D1*
+G03X14015Y18098I236J0D01*
G01*
-X13158Y9304D2*
-X18119D1*
-Y11273D1*
-X13158D1*
-Y10485D1*
-Y9304D2*
-Y10092D1*
-G03X13158Y10485I0J197D01*
+X28105Y13310D2*
+X28101Y16859D1*
+X26211D1*
+X25739D2*
+G03X26211Y16859I236J-56D01*
G01*
-X35728Y13303D2*
-X35724Y16851D1*
-X33834D1*
-X33362D2*
-G03X33834Y16851I236J-56D01*
+X23869D2*
+X23868Y13341D1*
+X23869Y13315D2*
+X30562D1*
+X30564Y13343D2*
+X30562Y16859D1*
+X27570D1*
+X26861D2*
+X23869D1*
+X26861D2*
+G03X27570Y16859I355J0D01*
G01*
-X31492D2*
-X31491Y13333D1*
-X31492Y13308D2*
-X38185D1*
-X38187Y13336D2*
-X38185Y16851D1*
-X35193D1*
-X34483D2*
-X31492D1*
-X34483D2*
-G03X35193Y16851I355J0D01*
+X10908Y9179D2*
+X15869D1*
+Y11147D1*
+X10908D1*
+Y10360D1*
+Y9179D2*
+Y9967D1*
+G03X10908Y10360I0J197D01*
G01*
-D34*
-X6554Y16073D3*
-X6543Y16965D2*
-D10*
-X9142D1*
-X6543Y18068D2*
-X9142D1*
-Y16965D2*
-Y18068D1*
-X6543Y16965D2*
-Y18068D1*
-X29658Y9304D2*
-X34619D1*
+X26348Y9305D2*
+X31308D1*
Y11273D1*
-X29658D1*
-Y10485D1*
-Y9304D2*
+X26348D1*
+Y10486D1*
+Y9305D2*
Y10092D1*
-G03X29658Y10485I0J197D01*
+G03X26348Y10486I0J197D01*
G01*
-X23052Y13560D2*
-Y16631D1*
-X21792Y13560D2*
-Y16631D1*
-X23052D2*
-X21792D1*
-X23052Y13560D2*
-X22619D1*
-X22225D2*
-X21792D1*
-X22619D2*
-G03X22225Y13560I-197J0D01*
+X19873Y9699D2*
+X22944D1*
+X19873Y10959D2*
+X22944D1*
+Y9699D2*
+Y10959D1*
+X19873Y9699D2*
+Y10132D1*
+Y10526D2*
+Y10959D1*
+Y10132D2*
+G03X19873Y10526I0J197D01*
G01*
-X35796Y9713D2*
-X38867D1*
-X35796Y10973D2*
-X38867D1*
-Y9713D2*
-Y10973D1*
-X35796Y9713D2*
-Y10146D1*
-Y10540D2*
-Y10973D1*
-Y10146D2*
-G03X35796Y10540I0J197D01*
+X32124Y9637D2*
+X35196D1*
+X32124Y10897D2*
+X35196D1*
+Y9637D2*
+Y10897D1*
+X32124Y9637D2*
+Y10070D1*
+Y10464D2*
+Y10897D1*
+Y10070D2*
+G03X32124Y10464I0J197D01*
G01*
-X6848Y19640D2*
+X8711Y17454D2*
D76*
-X6824Y19688D1*
-X6776Y19736D1*
-X6728Y19760D1*
-X6633D1*
-X6584Y19736D1*
-X6537Y19688D1*
-X6513Y19640D1*
-X6489Y19569D1*
-Y19449D1*
-X6513Y19377D1*
-X6537Y19329D1*
-X6584Y19282D1*
-X6633Y19257D1*
-X6728D1*
-X6776Y19282D1*
-X6824Y19329D1*
-X6848Y19377D1*
-X7002Y19664D2*
-X7050Y19688D1*
-X7122Y19759D1*
-Y19257D1*
-X9227Y11706D2*
-X9204Y11754D1*
-X9156Y11802D1*
-X9108Y11825D1*
-X9012D1*
-X8964Y11802D1*
-X8917Y11754D1*
-X8893Y11706D1*
-X8869Y11634D1*
-Y11514D1*
-X8893Y11443D1*
-X8917Y11395D1*
-X8964Y11347D1*
-X9012Y11323D1*
-X9108D1*
-X9156Y11347D1*
-X9204Y11395D1*
-X9227Y11443D1*
-X9406Y11705D2*
-Y11729D1*
-X9430Y11777D1*
-X9454Y11801D1*
-X9502Y11825D1*
-X9597D1*
-X9645Y11801D1*
-X9669Y11777D1*
-X9693Y11729D1*
-Y11682D1*
-X9669Y11634D1*
-X9621Y11562D1*
-X9382Y11323D1*
-X9717D1*
-X20389Y11775D2*
-X20341Y11751D1*
-X20293Y11703D1*
-X20270Y11656D1*
-Y11560D1*
-X20293Y11512D1*
-X20341Y11464D1*
-X20389Y11440D1*
-X20461Y11416D1*
-X20581D1*
-X20652Y11440D1*
-X20700Y11464D1*
-X20748Y11512D1*
-X20772Y11560D1*
-Y11656D1*
-X20748Y11703D1*
-X20700Y11751D1*
-X20652Y11775D1*
-X20341Y12216D2*
-X20294Y12192D1*
-X20270Y12121D1*
-Y12073D1*
-X20294Y12001D1*
-X20366Y11953D1*
-X20485Y11929D1*
-X20605D1*
-X20700Y11953D1*
-X20748Y12001D1*
-X20772Y12073D1*
-Y12097D1*
-X20748Y12168D1*
-X20700Y12216D1*
-X20628Y12240D1*
-X20605D1*
-X20533Y12216D1*
-X20485Y12168D1*
-X20461Y12097D1*
-Y12073D1*
-X20485Y12001D1*
-X20533Y11953D1*
-X20605Y11929D1*
-X24692Y14439D2*
-X24668Y14486D1*
-X24620Y14534D1*
-X24573Y14558D1*
-X24477D1*
-X24429Y14534D1*
-X24381Y14486D1*
-X24357Y14439D1*
-X24333Y14367D1*
-Y14247D1*
-X24357Y14176D1*
-X24381Y14128D1*
-X24429Y14080D1*
-X24477Y14056D1*
-X24573D1*
-X24620Y14080D1*
-X24668Y14128D1*
-X24692Y14176D1*
-X24942Y14056D2*
-X25181Y14558D1*
-X24846D1*
-X29991Y17125D2*
-X29967Y17173D1*
-X29919Y17221D1*
-X29872Y17244D1*
-X29776D1*
-X29728Y17221D1*
-X29680Y17173D1*
-X29656Y17125D1*
-X29632Y17053D1*
-Y16933D1*
-X29656Y16862D1*
-X29680Y16814D1*
-X29728Y16766D1*
-X29776Y16742D1*
-X29872D1*
-X29919Y16766D1*
-X29967Y16814D1*
-X29991Y16862D1*
-X30265Y17244D2*
-X30194Y17220D1*
-X30169Y17173D1*
-Y17125D1*
-X30194Y17077D1*
-X30241Y17053D1*
-X30337Y17029D1*
-X30409Y17005D1*
-X30456Y16957D1*
-X30480Y16910D1*
-Y16838D1*
-X30456Y16790D1*
-X30432Y16766D1*
-X30360Y16742D1*
-X30265D1*
-X30194Y16766D1*
-X30169Y16790D1*
-X30145Y16838D1*
-Y16910D1*
-X30169Y16957D1*
-X30217Y17005D1*
-X30289Y17029D1*
-X30384Y17053D1*
-X30432Y17077D1*
-X30456Y17125D1*
-Y17173D1*
-X30432Y17220D1*
-X30360Y17244D1*
-X30265D1*
-X25074Y9957D2*
-X25050Y10004D1*
-X25002Y10052D1*
-X24954Y10076D1*
-X24859D1*
-X24811Y10052D1*
-X24763Y10004D1*
-X24739Y9957D1*
-X24715Y9885D1*
-Y9765D1*
-X24739Y9694D1*
-X24763Y9646D1*
-X24811Y9598D1*
-X24859Y9574D1*
-X24954D1*
-X25002Y9598D1*
-X25050Y9646D1*
-X25074Y9694D1*
-X25228Y9980D2*
-X25276Y10004D1*
-X25348Y10076D1*
-Y9574D1*
-X25502Y9980D2*
-X25550Y10004D1*
-X25622Y10076D1*
-Y9574D1*
-X26464Y12025D2*
-Y11522D1*
-X26631D1*
-X26703Y11547D1*
-X26751Y11594D1*
-X26775Y11642D1*
-X26799Y11713D1*
-Y11833D1*
-X26775Y11905D1*
-X26751Y11953D1*
-X26703Y12001D1*
-X26631Y12025D1*
-X26464D1*
-X27001Y12024D2*
-X27264D1*
-X27121Y11833D1*
-X27193D1*
-X27240Y11809D1*
-X27264Y11785D1*
-X27288Y11713D1*
-Y11666D1*
-X27264Y11594D1*
-X27216Y11546D1*
-X27145Y11522D1*
-X27073D1*
-X27001Y11546D1*
-X26978Y11570D1*
-X26953Y11618D1*
-X34099Y21218D2*
-Y20836D1*
-X34076Y20764D1*
-X34051Y20740D1*
-X34004Y20716D1*
-X33956D1*
-X33908Y20740D1*
-X33884Y20764D1*
-X33860Y20836D1*
-Y20884D1*
-X34421Y21218D2*
-Y20716D1*
-X34254Y21218D2*
-X34589D1*
-X35126Y20716D2*
-X34934Y21218D1*
-X34743Y20716D1*
-X34815Y20884D2*
-X35054D1*
-X35639Y21099D2*
-X35615Y21147D1*
-X35567Y21195D1*
-X35520Y21218D1*
-X35424D1*
-X35376Y21195D1*
-X35329Y21147D1*
-X35304Y21099D1*
-X35281Y21027D1*
-Y20907D1*
-X35304Y20836D1*
-X35329Y20788D1*
-X35376Y20740D1*
-X35424Y20716D1*
-X35520D1*
-X35567Y20740D1*
-X35615Y20788D1*
-X35639Y20836D1*
-Y20907D1*
-X35520D1*
-X24767Y12067D2*
-X24982D1*
-X25054Y12091D1*
-X25079Y12115D1*
-X25102Y12163D1*
-Y12211D1*
-X25079Y12258D1*
-X25054Y12283D1*
-X24982Y12306D1*
-X24767D1*
-Y11804D1*
-X24935Y12067D2*
-X25102Y11804D1*
-X25305Y12306D2*
-X25567D1*
-X25424Y12115D1*
-X25496D1*
-X25544Y12091D1*
-X25567Y12067D1*
-X25592Y11995D1*
-Y11948D1*
-X25567Y11876D1*
-X25520Y11828D1*
-X25448Y11804D1*
-X25376D1*
-X25305Y11828D1*
-X25281Y11852D1*
-X25257Y11900D1*
-X15242Y18580D2*
-Y18222D1*
-X15266Y18150D1*
-X15314Y18102D1*
-X15386Y18078D1*
-X15433D1*
-X15505Y18102D1*
-X15553Y18150D1*
-X15577Y18222D1*
-Y18580D1*
-X15756Y18460D2*
-Y18484D1*
-X15779Y18532D1*
-X15803Y18556D1*
-X15851Y18580D1*
-X15947D1*
-X15994Y18556D1*
-X16018Y18532D1*
-X16042Y18484D1*
-Y18437D1*
-X16018Y18389D1*
-X15971Y18317D1*
-X15731Y18078D1*
-X16066D1*
-X12101Y10499D2*
-Y10141D1*
-X12125Y10069D1*
-X12173Y10021D1*
-X12245Y9997D1*
-X12292D1*
-X12364Y10021D1*
-X12412Y10069D1*
-X12436Y10141D1*
-Y10499D1*
-X12639D2*
-X12901D1*
-X12758Y10308D1*
-X12830D1*
-X12877Y10284D1*
-X12901Y10260D1*
-X12925Y10188D1*
-Y10141D1*
-X12901Y10069D1*
-X12854Y10021D1*
-X12782Y9997D1*
-X12710D1*
-X12639Y10021D1*
-X12615Y10045D1*
-X12590Y10093D1*
-X32506Y17573D2*
-Y17214D1*
-X32530Y17142D1*
-X32578Y17095D1*
-X32650Y17071D1*
-X32698D1*
-X32769Y17095D1*
-X32818Y17142D1*
-X32841Y17214D1*
-Y17573D1*
-X33283Y17572D2*
-X33044D1*
-X33020Y17357D1*
-X33044Y17381D1*
-X33116Y17406D1*
-X33187D1*
-X33259Y17381D1*
-X33307Y17334D1*
-X33331Y17262D1*
-Y17214D1*
-X33307Y17142D1*
-X33259Y17094D1*
-X33187Y17071D1*
-X33116D1*
-X33044Y17094D1*
-X33020Y17119D1*
-X32996Y17166D1*
-X9331Y17763D2*
-Y17404D1*
-X9354Y17332D1*
-X9402Y17285D1*
-X9474Y17260D1*
-X9522D1*
-X9594Y17285D1*
-X9642Y17332D1*
-X9665Y17404D1*
-Y17763D1*
-X10107Y17691D2*
-X10083Y17738D1*
-X10011Y17762D1*
-X9964D1*
-X9892Y17738D1*
-X9844Y17667D1*
-X9820Y17547D1*
-Y17428D1*
-X9844Y17332D1*
-X9892Y17284D1*
-X9964Y17260D1*
-X9987D1*
-X10059Y17284D1*
-X10107Y17332D1*
-X10130Y17404D1*
-Y17428D1*
-X10107Y17500D1*
-X10059Y17547D1*
-X9987Y17571D1*
-X9964D1*
-X9892Y17547D1*
-X9844Y17500D1*
-X9820Y17428D1*
-X28722Y10505D2*
+X8688Y17501D1*
+X8639Y17550D1*
+X8592Y17573D1*
+X8496D1*
+X8448Y17550D1*
+X8401Y17501D1*
+X8376Y17454D1*
+X8353Y17382D1*
+Y17262D1*
+X8376Y17191D1*
+X8401Y17143D1*
+X8448Y17095D1*
+X8496Y17071D1*
+X8592D1*
+X8639Y17095D1*
+X8688Y17143D1*
+X8711Y17191D1*
+X8866Y17477D2*
+X8914Y17501D1*
+X8986Y17573D1*
+Y17071D1*
+X7415Y11519D2*
+X7391Y11567D1*
+X7343Y11615D1*
+X7296Y11639D1*
+X7200D1*
+X7152Y11615D1*
+X7105Y11567D1*
+X7080Y11519D1*
+X7057Y11447D1*
+Y11327D1*
+X7080Y11256D1*
+X7105Y11208D1*
+X7152Y11161D1*
+X7200Y11136D1*
+X7296D1*
+X7343Y11161D1*
+X7391Y11208D1*
+X7415Y11256D1*
+X7594Y11519D2*
+Y11542D1*
+X7618Y11591D1*
+X7641Y11614D1*
+X7690Y11638D1*
+X7785D1*
+X7833Y11614D1*
+X7856Y11591D1*
+X7881Y11542D1*
+Y11495D1*
+X7856Y11447D1*
+X7809Y11376D1*
+X7570Y11136D1*
+X7905D1*
+X26896Y18130D2*
+X26873Y18177D1*
+X26825Y18225D1*
+X26777Y18249D1*
+X26681D1*
+X26633Y18225D1*
+X26586Y18177D1*
+X26562Y18130D1*
+X26538Y18058D1*
+Y17938D1*
+X26562Y17867D1*
+X26586Y17818D1*
+X26633Y17771D1*
+X26681Y17747D1*
+X26777D1*
+X26825Y17771D1*
+X26873Y17818D1*
+X26896Y17867D1*
+X27338Y18177D2*
+X27314Y18225D1*
+X27242Y18248D1*
+X27195D1*
+X27123Y18225D1*
+X27075Y18153D1*
+X27051Y18033D1*
+Y17914D1*
+X27075Y17818D1*
+X27123Y17770D1*
+X27195Y17747D1*
+X27218D1*
+X27290Y17770D1*
+X27338Y17818D1*
+X27361Y17890D1*
+Y17914D1*
+X27338Y17986D1*
+X27290Y18033D1*
+X27218Y18057D1*
+X27195D1*
+X27123Y18033D1*
+X27075Y17986D1*
+X27051Y17914D1*
+X22001Y14442D2*
+X21953Y14418D1*
+X21905Y14370D1*
+X21881Y14322D1*
+Y14227D1*
+X21905Y14178D1*
+X21953Y14131D1*
+X22001Y14107D1*
+X22073Y14083D1*
+X22192D1*
+X22264Y14107D1*
+X22312Y14131D1*
+X22359Y14178D1*
+X22384Y14227D1*
+Y14322D1*
+X22359Y14370D1*
+X22312Y14418D1*
+X22264Y14442D1*
+X21882Y14715D2*
+X21906Y14644D1*
+X21953Y14620D1*
+X22001D1*
+X22049Y14644D1*
+X22073Y14692D1*
+X22097Y14787D1*
+X22121Y14859D1*
+X22169Y14907D1*
+X22216Y14930D1*
+X22288D1*
+X22336Y14907D1*
+X22360Y14883D1*
+X22384Y14811D1*
+Y14715D1*
+X22360Y14644D1*
+X22336Y14620D1*
+X22288Y14596D1*
+X22216D1*
+X22169Y14620D1*
+X22121Y14668D1*
+X22097Y14739D1*
+X22073Y14835D1*
+X22049Y14883D1*
+X22001Y14907D1*
+X21953D1*
+X21906Y14883D1*
+X21882Y14811D1*
+Y14715D1*
+X23560Y9656D2*
+X23537Y9703D1*
+X23489Y9751D1*
+X23441Y9775D1*
+X23345D1*
+X23297Y9751D1*
+X23250Y9703D1*
+X23225Y9656D1*
+X23202Y9584D1*
+Y9464D1*
+X23225Y9393D1*
+X23250Y9344D1*
+X23297Y9297D1*
+X23345Y9273D1*
+X23441D1*
+X23489Y9297D1*
+X23537Y9344D1*
+X23560Y9393D1*
+X23715Y9679D2*
+X23763Y9703D1*
+X23835Y9774D1*
+Y9273D1*
+X23989Y9679D2*
+X24037Y9703D1*
+X24109Y9774D1*
+Y9273D1*
+X24226Y11649D2*
+Y11147D1*
+X24393D1*
+X24465Y11171D1*
+X24513Y11219D1*
+X24537Y11267D1*
+X24561Y11338D1*
+Y11458D1*
+X24537Y11530D1*
+X24513Y11577D1*
+X24465Y11625D1*
+X24393Y11649D1*
+X24226D1*
+X24763D2*
+X25026D1*
+X24883Y11457D1*
+X24955D1*
+X25002Y11434D1*
+X25026Y11410D1*
+X25050Y11338D1*
+Y11291D1*
+X25026Y11219D1*
+X24978Y11171D1*
+X24906Y11147D1*
+X24835D1*
+X24763Y11171D1*
+X24740Y11195D1*
+X24715Y11242D1*
+X23726Y20768D2*
+Y20386D1*
+X23702Y20314D1*
+X23678Y20290D1*
+X23630Y20266D1*
+X23582D1*
+X23535Y20290D1*
+X23511Y20314D1*
+X23487Y20386D1*
+Y20433D1*
+X24048Y20768D2*
+Y20266D1*
+X23880Y20768D2*
+X24215D1*
+X24753Y20266D2*
+X24561Y20768D1*
+X24370Y20266D1*
+X24441Y20433D2*
+X24681D1*
+X25266Y20649D2*
+X25242Y20696D1*
+X25194Y20745D1*
+X25146Y20768D1*
+X25051D1*
+X25003Y20745D1*
+X24955Y20696D1*
+X24931Y20649D1*
+X24907Y20577D1*
+Y20457D1*
+X24931Y20386D1*
+X24955Y20338D1*
+X25003Y20290D1*
+X25051Y20266D1*
+X25146D1*
+X25194Y20290D1*
+X25242Y20338D1*
+X25266Y20386D1*
+Y20457D1*
+X25146D1*
+X23306Y10131D2*
+X23521D1*
+X23593Y10155D1*
+X23617Y10179D1*
+X23641Y10226D1*
+Y10275D1*
+X23617Y10322D1*
+X23593Y10346D1*
+X23521Y10370D1*
+X23306D1*
+Y9868D1*
+X23473Y10131D2*
+X23641Y9868D1*
+X23843Y10370D2*
+X24106D1*
+X23963Y10178D1*
+X24034D1*
+X24082Y10155D1*
+X24106Y10131D1*
+X24130Y10059D1*
+Y10011D1*
+X24106Y9940D1*
+X24058Y9892D1*
+X23986Y9868D1*
+X23914D1*
+X23843Y9892D1*
+X23819Y9916D1*
+X23795Y9963D1*
+X23306Y10756D2*
+X23521D1*
+X23593Y10780D1*
+X23617Y10804D1*
+X23641Y10852D1*
+Y10900D1*
+X23617Y10947D1*
+X23593Y10972D1*
+X23521Y10995D1*
+X23306D1*
+Y10493D1*
+X23473Y10756D2*
+X23641Y10493D1*
+X24082Y10995D2*
+X23843D1*
+X23819Y10780D1*
+X23843Y10804D1*
+X23915Y10828D1*
+X23986D1*
+X24058Y10804D1*
+X24106Y10756D1*
+X24130Y10684D1*
+Y10637D1*
+X24106Y10565D1*
+X24058Y10517D1*
+X23986Y10493D1*
+X23915D1*
+X23843Y10517D1*
+X23819Y10541D1*
+X23795Y10589D1*
+X7381Y13513D2*
+Y13154D1*
+X7405Y13083D1*
+X7453Y13035D1*
+X7525Y13011D1*
+X7572D1*
+X7644Y13035D1*
+X7692Y13083D1*
+X7716Y13154D1*
+Y13513D1*
+X7895Y13393D2*
+Y13417D1*
+X7918Y13465D1*
+X7942Y13489D1*
+X7990Y13513D1*
+X8086D1*
+X8133Y13489D1*
+X8157Y13465D1*
+X8181Y13417D1*
+Y13369D1*
+X8157Y13321D1*
+X8110Y13250D1*
+X7870Y13011D1*
+X8205D1*
+X13367Y18830D2*
+Y18472D1*
+X13391Y18400D1*
+X13439Y18352D1*
+X13511Y18328D1*
+X13558D1*
+X13630Y18352D1*
+X13678Y18400D1*
+X13702Y18472D1*
+Y18830D1*
+X13905D2*
+X14167D1*
+X14024Y18639D1*
+X14096D1*
+X14143Y18615D1*
+X14167Y18591D1*
+X14191Y18519D1*
+Y18472D1*
+X14167Y18400D1*
+X14120Y18352D1*
+X14048Y18328D1*
+X13976D1*
+X13905Y18352D1*
+X13881Y18376D1*
+X13856Y18424D1*
+X26009Y17518D2*
+Y17159D1*
+X26032Y17087D1*
+X26080Y17040D1*
+X26152Y17015D1*
+X26200D1*
+X26272Y17040D1*
+X26320Y17087D1*
+X26343Y17159D1*
+Y17518D1*
+X26785Y17517D2*
+X26546D1*
+X26522Y17302D1*
+X26546Y17326D1*
+X26618Y17350D1*
+X26689D1*
+X26761Y17326D1*
+X26809Y17278D1*
+X26833Y17207D1*
+Y17159D1*
+X26809Y17087D1*
+X26761Y17039D1*
+X26689Y17015D1*
+X26618D1*
+X26546Y17039D1*
+X26522Y17063D1*
+X26498Y17111D1*
+X9974Y10390D2*
+Y10032D1*
+X9997Y9960D1*
+X10045Y9912D1*
+X10117Y9888D1*
+X10165D1*
+X10237Y9912D1*
+X10285Y9960D1*
+X10308Y10032D1*
+Y10390D1*
+X10750Y10318D2*
+X10726Y10366D1*
+X10654Y10390D1*
+X10607D1*
+X10535Y10366D1*
+X10487Y10294D1*
+X10463Y10175D1*
+Y10055D1*
+X10487Y9960D1*
+X10535Y9912D1*
+X10607Y9888D1*
+X10630D1*
+X10702Y9912D1*
+X10750Y9960D1*
+X10773Y10032D1*
+Y10055D1*
+X10750Y10127D1*
+X10702Y10175D1*
+X10630Y10199D1*
+X10607D1*
+X10535Y10175D1*
+X10487Y10127D1*
+X10463Y10055D1*
+X25412Y10506D2*
Y10147D1*
-X28746Y10075D1*
-X28794Y10027D1*
-X28866Y10003D1*
-X28913D1*
-X28985Y10027D1*
-X29033Y10075D1*
-X29057Y10147D1*
-Y10505D1*
-X29307Y10003D2*
-X29546Y10505D1*
-X29211D1*
-X22010Y17363D2*
-Y17005D1*
-X22034Y16933D1*
-X22082Y16885D1*
-X22154Y16861D1*
-X22201D1*
-X22273Y16885D1*
-X22321Y16933D1*
-X22345Y17005D1*
-Y17363D1*
-X22619D2*
-X22547Y17339D1*
-X22523Y17291D1*
-Y17243D1*
-X22547Y17196D1*
-X22595Y17172D1*
-X22691Y17148D1*
-X22762Y17124D1*
-X22810Y17076D1*
-X22834Y17028D1*
-Y16957D1*
-X22810Y16909D1*
-X22786Y16885D1*
-X22714Y16861D1*
-X22619D1*
-X22547Y16885D1*
-X22523Y16909D1*
-X22499Y16957D1*
-Y17028D1*
-X22523Y17076D1*
-X22571Y17124D1*
-X22642Y17148D1*
-X22738Y17172D1*
-X22786Y17196D1*
-X22810Y17243D1*
-Y17291D1*
-X22786Y17339D1*
-X22714Y17363D1*
-X22619D1*
-X36931Y12571D2*
-Y12212D1*
-X36955Y12141D1*
-X37003Y12093D1*
-X37075Y12069D1*
-X37123D1*
-X37195Y12093D1*
-X37243Y12141D1*
-X37266Y12212D1*
-Y12571D1*
-X37732Y12404D2*
-X37708Y12332D1*
-X37660Y12284D1*
-X37588Y12260D1*
-X37564D1*
-X37493Y12284D1*
-X37445Y12332D1*
-X37421Y12404D1*
-Y12427D1*
-X37445Y12499D1*
-X37493Y12547D1*
-X37564Y12571D1*
-X37588D1*
-X37660Y12547D1*
-X37708Y12499D1*
-X37732Y12404D1*
-Y12284D1*
-X37708Y12164D1*
-X37660Y12093D1*
-X37588Y12069D1*
-X37541D1*
-X37469Y12093D1*
-X37445Y12141D1*
-X18089Y22396D2*
+X25436Y10075D1*
+X25484Y10028D1*
+X25556Y10004D1*
+X25603D1*
+X25675Y10028D1*
+X25723Y10075D1*
+X25747Y10147D1*
+Y10506D1*
+X25997Y10004D2*
+X26236Y10505D1*
+X25901D1*
+X20997Y12558D2*
+Y12199D1*
+X21021Y12127D1*
+X21069Y12080D1*
+X21141Y12055D1*
+X21188D1*
+X21260Y12080D1*
+X21308Y12127D1*
+X21332Y12199D1*
+Y12558D1*
+X21606Y12557D2*
+X21534Y12533D1*
+X21510Y12486D1*
+Y12438D1*
+X21534Y12390D1*
+X21582Y12366D1*
+X21677Y12342D1*
+X21749Y12318D1*
+X21797Y12270D1*
+X21821Y12223D1*
+Y12151D1*
+X21797Y12103D1*
+X21773Y12079D1*
+X21701Y12055D1*
+X21606D1*
+X21534Y12079D1*
+X21510Y12103D1*
+X21486Y12151D1*
+Y12223D1*
+X21510Y12270D1*
+X21558Y12318D1*
+X21629Y12342D1*
+X21725Y12366D1*
+X21773Y12390D1*
+X21797Y12438D1*
+Y12486D1*
+X21773Y12533D1*
+X21701Y12557D1*
+X21606D1*
+X33260Y12495D2*
+Y12136D1*
+X33283Y12065D1*
+X33332Y12017D1*
+X33403Y11993D1*
+X33451D1*
+X33523Y12017D1*
+X33571Y12065D1*
+X33595Y12136D1*
+Y12495D1*
+X34060Y12328D2*
+X34036Y12256D1*
+X33988Y12208D1*
+X33917Y12184D1*
+X33893D1*
+X33821Y12208D1*
+X33773Y12256D1*
+X33749Y12328D1*
+Y12351D1*
+X33773Y12423D1*
+X33821Y12471D1*
+X33893Y12495D1*
+X33917D1*
+X33988Y12471D1*
+X34036Y12423D1*
+X34060Y12328D1*
+Y12208D1*
+X34036Y12088D1*
+X33988Y12017D1*
+X33917Y11993D1*
+X33869D1*
+X33797Y12017D1*
+X33773Y12065D1*
+X10776Y20396D2*
D77*
-X18051Y22472D1*
-X17974Y22549D1*
-X17898Y22587D1*
-X17745D1*
-X17668Y22549D1*
-X17592Y22472D1*
-X17553Y22396D1*
-X17515Y22281D1*
-Y22089D1*
-X17553Y21975D1*
-X17592Y21898D1*
-X17668Y21822D1*
-X17745Y21783D1*
-X17898D1*
-X17974Y21822D1*
-X18051Y21898D1*
-X18089Y21975D1*
-X18566Y22587D2*
-X18489Y22549D1*
-X18413Y22472D1*
-X18374Y22396D1*
-X18336Y22281D1*
-Y22089D1*
-X18374Y21975D1*
-X18413Y21898D1*
-X18489Y21822D1*
-X18566Y21783D1*
-X18719D1*
-X18795Y21822D1*
-X18872Y21898D1*
-X18910Y21975D1*
-X18948Y22089D1*
-Y22281D1*
-X18910Y22396D1*
-X18872Y22472D1*
-X18795Y22549D1*
-X18719Y22587D1*
-X18566D1*
-X19425D2*
-X19348Y22549D1*
-X19272Y22472D1*
-X19233Y22396D1*
-X19195Y22281D1*
-Y22089D1*
-X19233Y21975D1*
-X19272Y21898D1*
-X19348Y21822D1*
-X19425Y21783D1*
-X19578D1*
-X19654Y21822D1*
-X19731Y21898D1*
-X19769Y21975D1*
-X19807Y22089D1*
-Y22281D1*
-X19769Y22396D1*
-X19731Y22472D1*
-X19654Y22549D1*
-X19578Y22587D1*
-X19425D1*
-X20054D2*
-Y21783D1*
-X20513D1*
-X21334Y22396D2*
-X21296Y22472D1*
-X21219Y22549D1*
-X21143Y22587D1*
-X20990D1*
-X20913Y22549D1*
-X20837Y22472D1*
-X20798Y22396D1*
-X20760Y22281D1*
-Y22089D1*
-X20798Y21975D1*
-X20837Y21898D1*
-X20913Y21822D1*
-X20990Y21783D1*
-X21143D1*
-X21219Y21822D1*
-X21296Y21898D1*
-X21334Y21975D1*
-Y22089D1*
-X21143D1*
-X21581Y22587D2*
-Y21783D1*
-X21828Y22204D2*
-X22172D1*
-X22287Y22243D1*
-X22326Y22281D1*
-X22364Y22357D1*
-Y22434D1*
-X22326Y22510D1*
-X22287Y22549D1*
-X22172Y22587D1*
-X21828D1*
-Y21783D1*
-X22096Y22204D2*
-X22364Y21783D1*
-X22611Y22587D2*
-Y21783D1*
-X23070D1*
-X24706D2*
-Y22587D1*
-X24400Y21783D1*
-X24094Y22587D1*
-Y21783D1*
-X24953Y22587D2*
-Y22013D1*
-X24991Y21898D1*
-X25068Y21822D1*
-X25183Y21783D1*
-X25259D1*
-X25374Y21822D1*
-X25451Y21898D1*
-X25489Y22013D1*
-Y22587D1*
-X25736D2*
-Y21783D1*
-X26195D1*
-X26710Y22587D2*
-Y21783D1*
-X26442Y22587D2*
-X26978D1*
-X27225D2*
-Y21783D1*
-X28046Y22396D2*
-X28008Y22472D1*
-X27931Y22549D1*
-X27855Y22587D1*
-X27702D1*
-X27625Y22549D1*
-X27549Y22472D1*
-X27510Y22396D1*
-X27472Y22281D1*
-Y22089D1*
-X27510Y21975D1*
-X27549Y21898D1*
-X27625Y21822D1*
-X27702Y21783D1*
-X27855D1*
-X27931Y21822D1*
-X28008Y21898D1*
-X28046Y21975D1*
-X28906Y21783D2*
-X28599Y22587D1*
-X28293Y21783D1*
-X28408Y22051D2*
-X28791D1*
-X29153Y22204D2*
-X29497D1*
-X29612Y22243D1*
-X29651Y22281D1*
-X29689Y22357D1*
-Y22434D1*
-X29651Y22510D1*
-X29612Y22549D1*
-X29497Y22587D1*
-X29153D1*
-Y21783D1*
-X29421Y22204D2*
-X29689Y21783D1*
-X29936Y22587D2*
-Y21783D1*
-X30204D1*
-X30319Y21822D1*
-X30396Y21898D1*
-X30434Y21975D1*
-X30472Y22089D1*
-Y22281D1*
-X30434Y22396D1*
-X30396Y22472D1*
-X30319Y22549D1*
-X30204Y22587D1*
-X29936D1*
-X7390Y20632D2*
-Y20096D1*
-Y20402D2*
-X7429Y20517D1*
-X7505Y20594D1*
-X7582Y20632D1*
-X7697D1*
-X7944Y20402D2*
-X8403D1*
-Y20479D1*
-X8365Y20556D1*
-X8327Y20594D1*
-X8250Y20632D1*
-X8135D1*
-X8059Y20594D1*
-X7982Y20517D1*
-X7944Y20402D1*
-Y20326D1*
-X7982Y20211D1*
-X8059Y20135D1*
-X8135Y20096D1*
-X8250D1*
-X8327Y20135D1*
-X8403Y20211D1*
-X8650Y20632D2*
-X8880Y20096D1*
-X9109Y20632D1*
-X9394Y20173D2*
-X9356Y20134D1*
-X9394Y20096D1*
-X9433Y20134D1*
-X9394Y20173D1*
-X10457Y20746D2*
-X10534Y20785D1*
-X10649Y20899D1*
-Y20096D1*
-X10934Y20173D2*
-X10896Y20134D1*
-X10934Y20096D1*
-X10973Y20134D1*
-X10934Y20173D1*
-X11259Y20708D2*
-Y20746D1*
-X11297Y20823D1*
-X11335Y20861D1*
-X11412Y20899D1*
-X11565D1*
-X11641Y20861D1*
-X11679Y20823D1*
-X11718Y20746D1*
-Y20670D1*
-X11679Y20593D1*
-X11603Y20479D1*
-X11220Y20096D1*
-X11756D1*
-X9665Y6821D2*
+X10738Y20472D1*
+X10661Y20549D1*
+X10585Y20587D1*
+X10432D1*
+X10355Y20549D1*
+X10279Y20472D1*
+X10240Y20396D1*
+X10202Y20281D1*
+Y20089D1*
+X10240Y19975D1*
+X10279Y19898D1*
+X10355Y19822D1*
+X10432Y19783D1*
+X10585D1*
+X10661Y19822D1*
+X10738Y19898D1*
+X10776Y19975D1*
+X11253Y20587D2*
+X11176Y20549D1*
+X11100Y20472D1*
+X11061Y20396D1*
+X11023Y20281D1*
+Y20089D1*
+X11061Y19975D1*
+X11100Y19898D1*
+X11176Y19822D1*
+X11253Y19783D1*
+X11406D1*
+X11482Y19822D1*
+X11559Y19898D1*
+X11597Y19975D1*
+X11635Y20089D1*
+Y20281D1*
+X11597Y20396D1*
+X11559Y20472D1*
+X11482Y20549D1*
+X11406Y20587D1*
+X11253D1*
+X12112D2*
+X12035Y20549D1*
+X11959Y20472D1*
+X11920Y20396D1*
+X11882Y20281D1*
+Y20089D1*
+X11920Y19975D1*
+X11959Y19898D1*
+X12035Y19822D1*
+X12112Y19783D1*
+X12265D1*
+X12341Y19822D1*
+X12418Y19898D1*
+X12456Y19975D1*
+X12494Y20089D1*
+Y20281D1*
+X12456Y20396D1*
+X12418Y20472D1*
+X12341Y20549D1*
+X12265Y20587D1*
+X12112D1*
+X12741D2*
+Y19783D1*
+X13200D1*
+X14021Y20396D2*
+X13983Y20472D1*
+X13906Y20549D1*
+X13830Y20587D1*
+X13677D1*
+X13600Y20549D1*
+X13524Y20472D1*
+X13485Y20396D1*
+X13447Y20281D1*
+Y20089D1*
+X13485Y19975D1*
+X13524Y19898D1*
+X13600Y19822D1*
+X13677Y19783D1*
+X13830D1*
+X13906Y19822D1*
+X13983Y19898D1*
+X14021Y19975D1*
+Y20089D1*
+X13830D1*
+X14268Y20587D2*
+Y19783D1*
+X14515Y20204D2*
+X14859D1*
+X14974Y20243D1*
+X15013Y20281D1*
+X15051Y20357D1*
+Y20434D1*
+X15013Y20510D1*
+X14974Y20549D1*
+X14859Y20587D1*
+X14515D1*
+Y19783D1*
+X14783Y20204D2*
+X15051Y19783D1*
+X15298Y20587D2*
+Y19783D1*
+X15757D1*
+X17393D2*
+Y20587D1*
+X17087Y19783D1*
+X16782Y20587D1*
+Y19783D1*
+X17640Y20587D2*
+Y20013D1*
+X17679Y19898D1*
+X17755Y19822D1*
+X17870Y19783D1*
+X17946D1*
+X18061Y19822D1*
+X18138Y19898D1*
+X18176Y20013D1*
+Y20587D1*
+X18423D2*
+Y19783D1*
+X18882D1*
+X19397Y20587D2*
+Y19783D1*
+X19129Y20587D2*
+X19665D1*
+X19912D2*
+Y19783D1*
+X20733Y20396D2*
+X20695Y20472D1*
+X20618Y20549D1*
+X20542Y20587D1*
+X20389D1*
+X20312Y20549D1*
+X20236Y20472D1*
+X20197Y20396D1*
+X20159Y20281D1*
+Y20089D1*
+X20197Y19975D1*
+X20236Y19898D1*
+X20312Y19822D1*
+X20389Y19783D1*
+X20542D1*
+X20618Y19822D1*
+X20695Y19898D1*
+X20733Y19975D1*
+X21593Y19783D2*
+X21286Y20587D1*
+X20980Y19783D1*
+X21095Y20051D2*
+X21478D1*
+X21840Y20204D2*
+X22184D1*
+X22299Y20243D1*
+X22338Y20281D1*
+X22376Y20357D1*
+Y20434D1*
+X22338Y20510D1*
+X22299Y20549D1*
+X22184Y20587D1*
+X21840D1*
+Y19783D1*
+X22108Y20204D2*
+X22376Y19783D1*
+X22891Y20587D2*
+Y19783D1*
+X22623Y20587D2*
+X23159D1*
+X18454Y19256D2*
+Y18721D1*
+Y19027D2*
+X18493Y19142D1*
+X18569Y19218D1*
+X18646Y19256D1*
+X18761D1*
+X19008Y19027D2*
+X19467D1*
+Y19103D1*
+X19429Y19180D1*
+X19391Y19218D1*
+X19314Y19256D1*
+X19199D1*
+X19123Y19218D1*
+X19046Y19142D1*
+X19008Y19027D1*
+Y18951D1*
+X19046Y18836D1*
+X19123Y18759D1*
+X19199Y18721D1*
+X19314D1*
+X19391Y18759D1*
+X19467Y18836D1*
+X19714Y19256D2*
+X19944Y18721D1*
+X20173Y19256D1*
+X20458Y18798D2*
+X20420Y18759D1*
+X20458Y18721D1*
+X20497Y18759D1*
+X20458Y18798D1*
+X21561Y19333D2*
+Y19371D1*
+X21599Y19447D1*
+X21637Y19486D1*
+X21714Y19524D1*
+X21866D1*
+X21943Y19486D1*
+X21981Y19447D1*
+X22019Y19371D1*
+Y19295D1*
+X21981Y19218D1*
+X21905Y19103D1*
+X21522Y18721D1*
+X22058D1*
+X22343Y18798D2*
+X22305Y18759D1*
+X22343Y18721D1*
+X22381Y18759D1*
+X22343Y18798D1*
+X22628Y19371D2*
+X22705Y19409D1*
+X22820Y19524D1*
+Y18721D1*
+X9666Y6821D2*
D78*
Y7223D1*
-X9512Y6821D1*
-X9359Y7223D1*
+X9513Y6821D1*
+X9360Y7223D1*
Y6821D1*
X10095D2*
X9942Y7223D1*
X9789Y6821D1*
-X9846Y6955D2*
+X9847Y6955D2*
X10038D1*
X10219Y7223D2*
Y6821D1*
X10353D1*
X10410Y6840D1*
-X10448Y6878D1*
-X10467Y6917D1*
-X10486Y6974D1*
+X10449Y6878D1*
+X10468Y6917D1*
+X10487Y6974D1*
Y7070D1*
-X10467Y7127D1*
-X10448Y7165D1*
+X10468Y7127D1*
+X10449Y7165D1*
X10410Y7204D1*
X10353Y7223D1*
X10219D1*
-X10858D2*
+X10859D2*
X10610D1*
Y6821D1*
-X10858D1*
+X10859D1*
X10610Y7031D2*
X10763D1*
X11371Y7223D2*
Y6821D1*
-X11762Y7223D2*
+X11763Y7223D2*
Y6821D1*
-X11494Y7223D1*
+X11495Y7223D1*
Y6821D1*
X12275Y7031D2*
X12447D1*
-X12504Y7051D1*
+X12505Y7051D1*
X12524Y7070D1*
X12543Y7108D1*
Y7146D1*
X12524Y7184D1*
-X12504Y7204D1*
+X12505Y7204D1*
X12447Y7223D1*
X12275D1*
Y6821D1*
@@ -877,7 +877,7 @@ X12409Y7031D2*
X12543Y6821D1*
X12666Y7223D2*
Y6936D1*
-X12685Y6878D1*
+X12686Y6878D1*
X12724Y6840D1*
X12781Y6821D1*
X12819D1*
@@ -885,10 +885,10 @@ X12877Y6840D1*
X12915Y6878D1*
X12934Y6936D1*
Y7223D1*
-X13325Y7165D2*
-X13287Y7204D1*
+X13326Y7165D2*
+X13288Y7204D1*
X13230Y7223D1*
-X13153D1*
+X13154D1*
X13096Y7204D1*
X13058Y7165D1*
Y7127D1*
@@ -896,281 +896,205 @@ X13077Y7089D1*
X13096Y7070D1*
X13134Y7051D1*
X13249Y7012D1*
-X13287Y6993D1*
-X13306Y6974D1*
-X13325Y6936D1*
+X13288Y6993D1*
+X13307Y6974D1*
+X13326Y6936D1*
Y6878D1*
-X13287Y6840D1*
+X13288Y6840D1*
X13230Y6821D1*
-X13153D1*
+X13154D1*
X13096Y6840D1*
X13058Y6878D1*
X13717Y7165D2*
X13679Y7204D1*
-X13621Y7223D1*
+X13622Y7223D1*
X13545D1*
-X13487Y7204D1*
+X13488Y7204D1*
X13449Y7165D1*
Y7127D1*
-X13468Y7089D1*
-X13487Y7070D1*
-X13525Y7051D1*
-X13640Y7012D1*
+X13469Y7089D1*
+X13488Y7070D1*
+X13526Y7051D1*
+X13641Y7012D1*
X13679Y6993D1*
X13698Y6974D1*
X13717Y6936D1*
Y6878D1*
X13679Y6840D1*
-X13621Y6821D1*
+X13622Y6821D1*
X13545D1*
-X13487Y6840D1*
+X13488Y6840D1*
X13449Y6878D1*
-X13840Y7223D2*
+X13841Y7223D2*
Y6821D1*
-X14270D2*
+X14271D2*
X14117Y7223D1*
X13964Y6821D1*
-X14021Y6955D2*
+X14022Y6955D2*
X14213D1*
-X22248Y8207D2*
+X22249Y8207D2*
X22000D1*
Y7805D1*
Y8016D2*
X22153D1*
X22372D2*
X22544D1*
-X22601Y8035D1*
+X22602Y8035D1*
X22621Y8054D1*
X22640Y8092D1*
Y8131D1*
X22621Y8169D1*
-X22601Y8188D1*
+X22602Y8188D1*
X22544Y8207D1*
X22372D1*
Y7805D1*
X22506Y8016D2*
X22640Y7805D1*
-X22878Y8207D2*
+X22879Y8207D2*
X22840Y8188D1*
X22802Y8150D1*
-X22782Y8112D1*
-X22763Y8054D1*
+X22783Y8112D1*
+X22764Y8054D1*
Y7958D1*
-X22782Y7901D1*
+X22783Y7901D1*
X22802Y7863D1*
X22840Y7825D1*
-X22878Y7805D1*
+X22879Y7805D1*
X22955D1*
X22993Y7825D1*
-X23031Y7863D1*
-X23050Y7901D1*
-X23069Y7958D1*
+X23032Y7863D1*
+X23051Y7901D1*
+X23070Y7958D1*
Y8054D1*
-X23050Y8112D1*
-X23031Y8150D1*
+X23051Y8112D1*
+X23032Y8150D1*
X22993Y8188D1*
X22955Y8207D1*
-X22878D1*
+X22879D1*
X23461D2*
Y7805D1*
X23193Y8207D1*
Y7805D1*
-X23718Y8207D2*
+X23719Y8207D2*
Y7805D1*
-X23584Y8207D2*
-X23852D1*
+X23585Y8207D2*
+X23853D1*
X24633Y8150D2*
X24595Y8188D1*
-X24537Y8207D1*
+X24538Y8207D1*
X24461D1*
-X24403Y8188D1*
+X24404Y8188D1*
X24365Y8150D1*
Y8112D1*
-X24384Y8073D1*
-X24403Y8054D1*
-X24441Y8035D1*
-X24556Y7997D1*
+X24385Y8073D1*
+X24404Y8054D1*
+X24442Y8035D1*
+X24557Y7997D1*
X24595Y7978D1*
X24614Y7958D1*
X24633Y7920D1*
Y7863D1*
X24595Y7825D1*
-X24537Y7805D1*
+X24538Y7805D1*
X24461D1*
-X24403Y7825D1*
+X24404Y7825D1*
X24365Y7863D1*
-X24756Y8207D2*
+X24757Y8207D2*
Y7805D1*
X24880Y8207D2*
Y7805D1*
X25014D1*
-X25071Y7825D1*
+X25072Y7825D1*
X25110Y7863D1*
X25129Y7901D1*
X25148Y7958D1*
Y8054D1*
X25129Y8112D1*
X25110Y8150D1*
-X25071Y8188D1*
+X25072Y8188D1*
X25014Y8207D1*
X24880D1*
X25520D2*
-X25271D1*
+X25272D1*
Y7805D1*
X25520D1*
-X25271Y8016D2*
-X25424D1*
-X29030Y19547D2*
-X28992Y19528D1*
-X28953Y19489D1*
-X28934Y19451D1*
-Y19375D1*
-X28953Y19336D1*
-X28992Y19298D1*
-X29030Y19279D1*
-X29087Y19260D1*
-X29183D1*
-X29240Y19279D1*
-X29279Y19298D1*
-X29317Y19336D1*
-X29336Y19375D1*
-Y19451D1*
-X29317Y19489D1*
-X29279Y19528D1*
-X29240Y19547D1*
-X29183D1*
-Y19451D1*
-X28934Y19938D2*
-X29336D1*
-X28934Y19670D1*
-X29336D1*
-X28934Y20062D2*
-X29336D1*
-Y20196D1*
-X29317Y20253D1*
-X29279Y20292D1*
-X29240Y20311D1*
-X29183Y20330D1*
-X29087D1*
-X29030Y20311D1*
-X28992Y20292D1*
-X28953Y20253D1*
-X28934Y20196D1*
-Y20062D1*
-X29934Y19394D2*
+X25272Y8016D2*
+X25425D1*
+X25905Y18984D2*
+X25867Y18965D1*
+X25829Y18927D1*
+X25810Y18889D1*
+Y18812D1*
+X25829Y18774D1*
+X25867Y18736D1*
+X25905Y18716D1*
+X25963Y18697D1*
+X26058D1*
+X26116Y18716D1*
+X26154Y18736D1*
+X26192Y18774D1*
+X26211Y18812D1*
+Y18889D1*
+X26192Y18927D1*
+X26154Y18965D1*
+X26116Y18984D1*
+X26058D1*
+Y18889D1*
+X25810Y19376D2*
+X26211D1*
+X25810Y19108D1*
+X26211D1*
+X25810Y19499D2*
+X26211D1*
+Y19633D1*
+X26192Y19691D1*
+X26154Y19729D1*
+X26116Y19748D1*
+X26058Y19767D1*
+X25963D1*
+X25905Y19748D1*
+X25867Y19729D1*
+X25829Y19691D1*
+X25810Y19633D1*
+Y19499D1*
+X29935Y18831D2*
X30336D1*
-X29934Y19260D2*
-Y19528D1*
-X30336Y19957D2*
-X29934D1*
-X30336Y19804D1*
-X29934Y19651D1*
+X29935Y18697D2*
+Y18965D1*
+Y19089D2*
X30336D1*
-X29992Y20349D2*
-X29953Y20311D1*
-X29934Y20253D1*
-Y20177D1*
-X29953Y20119D1*
-X29992Y20081D1*
-X30030D1*
-X30068Y20100D1*
-X30087Y20119D1*
-X30106Y20157D1*
-X30145Y20272D1*
-X30164Y20311D1*
-X30183Y20330D1*
-X30221Y20349D1*
-X30279D1*
-X30317Y20311D1*
-X30336Y20253D1*
-Y20177D1*
-X30317Y20119D1*
-X30279Y20081D1*
-X30934Y19394D2*
-X31336D1*
-X30934Y19260D2*
-Y19528D1*
-Y19651D2*
-X31336D1*
-Y19785D1*
-X31317Y19843D1*
-X31279Y19881D1*
-X31240Y19900D1*
-X31183Y19919D1*
-X31087D1*
-X31030Y19900D1*
-X30992Y19881D1*
-X30953Y19843D1*
-X30934Y19785D1*
-Y19651D1*
-Y20043D2*
-X31336D1*
-X31934Y19394D2*
-X32336D1*
-X31934Y19260D2*
-Y19528D1*
-X32030Y19938D2*
-X31992Y19919D1*
-X31953Y19881D1*
-X31934Y19843D1*
-Y19766D1*
-X31953Y19728D1*
-X31992Y19690D1*
-X32030Y19670D1*
-X32087Y19651D1*
-X32183D1*
-X32240Y19670D1*
-X32279Y19690D1*
-X32317Y19728D1*
-X32336Y19766D1*
-Y19843D1*
-X32317Y19881D1*
-X32279Y19919D1*
-X32240Y19938D1*
-X31934Y20062D2*
-X32336D1*
-X31934Y20330D2*
-X32202Y20062D1*
-X32106Y20157D2*
-X32336Y20330D1*
-X32934Y19394D2*
-X33336D1*
-X32934Y19260D2*
-Y19528D1*
-Y19651D2*
-X33336D1*
-Y19785D1*
-X33317Y19843D1*
-X33279Y19881D1*
-X33240Y19900D1*
-X33183Y19919D1*
-X33087D1*
-X33030Y19900D1*
-X32992Y19881D1*
-X32953Y19843D1*
-X32934Y19785D1*
-Y19651D1*
-Y20158D2*
-X32953Y20119D1*
-X32992Y20081D1*
-X33030Y20062D1*
-X33087Y20043D1*
-X33183D1*
-X33240Y20062D1*
-X33279Y20081D1*
-X33317Y20119D1*
-X33336Y20158D1*
-Y20234D1*
-X33317Y20272D1*
-X33279Y20311D1*
-X33240Y20330D1*
-X33183Y20349D1*
-X33087D1*
-X33030Y20330D1*
-X32992Y20311D1*
-X32953Y20272D1*
-X32934Y20234D1*
-Y20158D1*
+Y19223D1*
+X30317Y19280D1*
+X30279Y19319D1*
+X30241Y19338D1*
+X30183Y19357D1*
+X30088D1*
+X30030Y19338D1*
+X29992Y19319D1*
+X29954Y19280D1*
+X29935Y19223D1*
+Y19089D1*
+Y19595D2*
+X29954Y19557D1*
+X29992Y19519D1*
+X30030Y19499D1*
+X30088Y19480D1*
+X30183D1*
+X30241Y19499D1*
+X30279Y19519D1*
+X30317Y19557D1*
+X30336Y19595D1*
+Y19672D1*
+X30317Y19710D1*
+X30279Y19748D1*
+X30241Y19767D1*
+X30183Y19786D1*
+X30088D1*
+X30030Y19767D1*
+X29992Y19748D1*
+X29954Y19710D1*
+X29935Y19672D1*
+Y19595D1*
X33521Y7127D2*
X33502Y7165D1*
X33464Y7204D1*
@@ -1178,10 +1102,10 @@ X33426Y7223D1*
X33349D1*
X33311Y7204D1*
X33273Y7165D1*
-X33253Y7127D1*
-X33234Y7070D1*
+X33254Y7127D1*
+X33235Y7070D1*
Y6974D1*
-X33253Y6917D1*
+X33254Y6917D1*
X33273Y6878D1*
X33311Y6840D1*
X33349Y6821D1*
@@ -1199,335 +1123,411 @@ X34055Y6840D1*
X34113Y6821D1*
X34151D1*
X34208Y6840D1*
-X34246Y6878D1*
-X34265Y6936D1*
+X34247Y6878D1*
+X34266Y6936D1*
Y7223D1*
X34657Y7165D2*
X34619Y7204D1*
-X34561Y7223D1*
+X34562Y7223D1*
X34485D1*
-X34427Y7204D1*
+X34428Y7204D1*
X34389Y7165D1*
Y7127D1*
-X34408Y7089D1*
-X34427Y7070D1*
+X34409Y7089D1*
+X34428Y7070D1*
X34466Y7051D1*
-X34580Y7012D1*
+X34581Y7012D1*
X34619Y6993D1*
X34638Y6974D1*
X34657Y6936D1*
Y6878D1*
X34619Y6840D1*
-X34561Y6821D1*
+X34562Y6821D1*
X34485D1*
-X34427Y6840D1*
+X34428Y6840D1*
X34389Y6878D1*
-X34914Y7223D2*
+X34915Y7223D2*
Y6821D1*
-X34780Y7223D2*
-X35048D1*
-X35420D2*
+X34781Y7223D2*
+X35049D1*
+X35421D2*
X35172D1*
Y6821D1*
-X35420D1*
+X35421D1*
X35172Y7031D2*
X35325D1*
X35544D2*
X35716D1*
-X35773Y7051D1*
+X35774Y7051D1*
X35793Y7070D1*
X35812Y7108D1*
Y7146D1*
X35793Y7184D1*
-X35773Y7204D1*
+X35774Y7204D1*
X35716Y7223D1*
X35544D1*
Y6821D1*
X35678Y7031D2*
X35812Y6821D1*
-X35935Y7031D2*
-X36107D1*
+X35936Y7031D2*
+X36108D1*
X36165Y7051D1*
-X36184Y7070D1*
-X36203Y7108D1*
+X36185Y7070D1*
+X36204Y7108D1*
Y7146D1*
-X36184Y7184D1*
+X36185Y7184D1*
X36165Y7204D1*
-X36107Y7223D1*
-X35935D1*
+X36108Y7223D1*
+X35936D1*
Y6821D1*
-X36069Y7031D2*
-X36203Y6821D1*
+X36070Y7031D2*
+X36204Y6821D1*
X36327Y7031D2*
X36499D1*
-X36556Y7051D1*
+X36557Y7051D1*
X36576Y7070D1*
X36595Y7108D1*
Y7146D1*
X36576Y7184D1*
-X36556Y7204D1*
+X36557Y7204D1*
X36499Y7223D1*
X36327D1*
Y6821D1*
X36461Y7031D2*
X36595Y6821D1*
-X36737Y6859D2*
-X36718Y6840D1*
-X36737Y6821D1*
+X36738Y6859D2*
+X36719Y6840D1*
+X36738Y6821D1*
X36757Y6840D1*
-X36737Y6859D1*
-X37167Y7127D2*
-X37148Y7165D1*
+X36738Y6859D1*
+X37168Y7127D2*
+X37149Y7165D1*
X37110Y7204D1*
X37072Y7223D1*
-X36995D1*
+X36996D1*
X36957Y7204D1*
X36919Y7165D1*
-X36899Y7127D1*
-X36880Y7070D1*
+X36900Y7127D1*
+X36881Y7070D1*
Y6974D1*
-X36899Y6917D1*
+X36900Y6917D1*
X36919Y6878D1*
X36957Y6840D1*
-X36995Y6821D1*
+X36996Y6821D1*
X37072D1*
X37110Y6840D1*
-X37148Y6878D1*
-X37167Y6917D1*
+X37149Y6878D1*
+X37168Y6917D1*
X37406Y7223D2*
-X37367Y7204D1*
-X37329Y7165D1*
+X37368Y7204D1*
+X37330Y7165D1*
X37310Y7127D1*
X37291Y7070D1*
Y6974D1*
X37310Y6917D1*
-X37329Y6878D1*
-X37367Y6840D1*
+X37330Y6878D1*
+X37368Y6840D1*
X37406Y6821D1*
-X37482D1*
-X37520Y6840D1*
+X37483D1*
+X37521Y6840D1*
X37559Y6878D1*
X37578Y6917D1*
X37597Y6974D1*
Y7070D1*
X37578Y7127D1*
X37559Y7165D1*
-X37520Y7204D1*
-X37482Y7223D1*
+X37521Y7204D1*
+X37483Y7223D1*
X37406D1*
-X38026Y6821D2*
+X38027Y6821D2*
Y7223D1*
-X37873Y6821D1*
-X37720Y7223D1*
+X37874Y6821D1*
+X37721Y7223D1*
Y6821D1*
-X38394Y19481D2*
-X38375Y19500D1*
-X38394Y19519D1*
-X38413Y19500D1*
-Y19462D1*
-X38394Y19424D1*
-X38375Y19404D1*
-X38470Y19481D2*
-X38451Y19500D1*
-X38470Y19519D1*
-X38489Y19500D1*
-Y19462D1*
-X38470Y19424D1*
-X38451Y19404D1*
-X38900Y19424D2*
-X38881Y19462D1*
-X38842Y19501D1*
-X38804Y19520D1*
-X38728D1*
-X38689Y19501D1*
-X38651Y19462D1*
-X38632Y19424D1*
-X38613Y19367D1*
-Y19271D1*
-X38632Y19214D1*
-X38651Y19175D1*
-X38689Y19137D1*
-X38728Y19118D1*
-X38804D1*
-X38842Y19137D1*
-X38881Y19175D1*
-X38900Y19214D1*
-X39023Y19520D2*
-Y19118D1*
-X39253D1*
-X39376Y19520D2*
-Y19233D1*
-X39395Y19175D1*
-X39434Y19137D1*
-X39491Y19118D1*
-X39529D1*
-X39587Y19137D1*
-X39625Y19175D1*
-X39644Y19233D1*
-Y19520D1*
-X40036Y19462D2*
-X39998Y19501D1*
-X39940Y19520D1*
-X39864D1*
-X39806Y19501D1*
-X39768Y19462D1*
-Y19424D1*
-X39787Y19386D1*
-X39806Y19367D1*
-X39844Y19348D1*
-X39959Y19309D1*
-X39998Y19290D1*
-X40017Y19271D1*
-X40036Y19233D1*
-Y19175D1*
-X39998Y19137D1*
-X39940Y19118D1*
-X39864D1*
-X39806Y19137D1*
-X39768Y19175D1*
-X40293Y19520D2*
-Y19118D1*
-X40159Y19520D2*
-X40427D1*
-X40799D2*
-X40551D1*
-Y19118D1*
-X40799D1*
-X40551Y19328D2*
-X40704D1*
-X40923D2*
-X41095D1*
-X41152Y19348D1*
-X41172Y19367D1*
-X41191Y19405D1*
-Y19443D1*
-X41172Y19481D1*
-X41152Y19501D1*
-X41095Y19520D1*
-X40923D1*
-Y19118D1*
-X41057Y19328D2*
-X41191Y19118D1*
-X41334Y19481D2*
-X41314Y19500D1*
-X41334Y19519D1*
-X41353Y19500D1*
-Y19462D1*
-X41334Y19424D1*
-X41314Y19404D1*
-X41410Y19481D2*
-X41391Y19500D1*
-X41410Y19519D1*
-X41429Y19500D1*
-Y19462D1*
-X41410Y19424D1*
-X41391Y19404D1*
-X39056Y18430D2*
-X38903Y18832D1*
-X38750Y18430D1*
-X38807Y18564D2*
-X38998D1*
-X39179Y18832D2*
-X39332Y18430D1*
-X39485Y18832D1*
-X39609D2*
-Y18430D1*
-X39743D1*
-X39800Y18450D1*
-X39839Y18488D1*
-X39858Y18526D1*
-X39877Y18583D1*
-Y18679D1*
-X39858Y18737D1*
-X39839Y18775D1*
-X39800Y18813D1*
-X39743Y18832D1*
-X39609D1*
-X40000D2*
-X40153Y18641D1*
-Y18430D1*
-X40306Y18832D2*
-X40153Y18641D1*
-X40430Y18832D2*
-Y18545D1*
-X40449Y18488D1*
-X40487Y18450D1*
-X40545Y18430D1*
-X40583D1*
-X40640Y18450D1*
-X40679Y18488D1*
-X40698Y18545D1*
-Y18832D1*
-X40821D2*
-Y18430D1*
-X41089Y18832D2*
-X40821Y18564D1*
-X40917Y18660D2*
-X41089Y18430D1*
-X41213Y18832D2*
-Y18430D1*
-X41481Y18832D2*
-Y18430D1*
-X41213Y18641D2*
-X41481D1*
-X41604Y18832D2*
-Y18430D1*
-X41996Y18832D2*
-Y18430D1*
-X41728Y18832D1*
-Y18430D1*
-X37500Y20207D2*
-Y19805D1*
-X37672D1*
-X37729Y19825D1*
-X37748Y19844D1*
-X37767Y19882D1*
-Y19939D1*
-X37748Y19978D1*
-X37729Y19997D1*
-X37672Y20016D1*
-X37729Y20035D1*
-X37748Y20054D1*
-X37767Y20092D1*
-Y20131D1*
-X37748Y20169D1*
-X37729Y20188D1*
-X37672Y20207D1*
-X37500D1*
-Y20016D2*
-X37672D1*
-X37891Y20207D2*
-X38044Y20016D1*
-Y19805D1*
-X38197Y20207D2*
-X38044Y20016D1*
-X39016Y19805D2*
-X38862Y20207D1*
-X38709Y19805D1*
-X38767Y19939D2*
-X38958D1*
-X39139Y20207D2*
-Y19805D1*
-X39369D1*
-X39741Y20207D2*
-X39492D1*
-Y19805D1*
-X39741D1*
-X39492Y20016D2*
-X39645D1*
-X39864Y20207D2*
-X40132Y19805D1*
-Y20207D2*
-X39864Y19805D1*
-X40504Y20207D2*
-X40256D1*
-Y19805D1*
-X40504D1*
-X40256Y20016D2*
-X40409D1*
-X40628Y20207D2*
-X40781Y20016D1*
-Y19805D1*
-X40934Y20207D2*
-X40781Y20016D1*
+X37019Y10917D2*
+X37000Y10937D1*
+X37019Y10956D1*
+X37038Y10937D1*
+Y10898D1*
+X37019Y10860D1*
+X37000Y10841D1*
+X37096Y10917D2*
+X37076Y10937D1*
+X37096Y10956D1*
+X37115Y10937D1*
+Y10898D1*
+X37096Y10860D1*
+X37076Y10841D1*
+X37525Y10861D2*
+X37506Y10899D1*
+X37468Y10937D1*
+X37430Y10956D1*
+X37353D1*
+X37315Y10937D1*
+X37277Y10899D1*
+X37257Y10861D1*
+X37238Y10803D1*
+Y10707D1*
+X37257Y10650D1*
+X37277Y10612D1*
+X37315Y10574D1*
+X37353Y10554D1*
+X37430D1*
+X37468Y10574D1*
+X37506Y10612D1*
+X37525Y10650D1*
+X37649Y10956D2*
+Y10554D1*
+X37878D1*
+X38002Y10956D2*
+Y10669D1*
+X38021Y10612D1*
+X38059Y10574D1*
+X38117Y10554D1*
+X38155D1*
+X38212Y10574D1*
+X38251Y10612D1*
+X38270Y10669D1*
+Y10956D1*
+X38661Y10899D2*
+X38623Y10937D1*
+X38566Y10956D1*
+X38489D1*
+X38432Y10937D1*
+X38393Y10899D1*
+Y10861D1*
+X38413Y10822D1*
+X38432Y10803D1*
+X38470Y10784D1*
+X38585Y10746D1*
+X38623Y10727D1*
+X38642Y10707D1*
+X38661Y10669D1*
+Y10612D1*
+X38623Y10574D1*
+X38566Y10554D1*
+X38489D1*
+X38432Y10574D1*
+X38393Y10612D1*
+X38919Y10956D2*
+Y10554D1*
+X38785Y10956D2*
+X39053D1*
+X39425D2*
+X39176D1*
+Y10554D1*
+X39425D1*
+X39176Y10765D2*
+X39329D1*
+X39548D2*
+X39720D1*
+X39778Y10784D1*
+X39797Y10803D1*
+X39816Y10841D1*
+Y10880D1*
+X39797Y10918D1*
+X39778Y10937D1*
+X39720Y10956D1*
+X39548D1*
+Y10554D1*
+X39682Y10765D2*
+X39816Y10554D1*
+X39959Y10917D2*
+X39940Y10937D1*
+X39959Y10956D1*
+X39978Y10937D1*
+Y10898D1*
+X39959Y10860D1*
+X39940Y10841D1*
+X40036Y10917D2*
+X40016Y10937D1*
+X40036Y10956D1*
+X40055Y10937D1*
+Y10898D1*
+X40036Y10860D1*
+X40016Y10841D1*
+X37681Y9867D2*
+X37528Y10269D1*
+X37375Y9867D1*
+X37432Y10001D2*
+X37624D1*
+X37805Y10269D2*
+X37958Y9867D1*
+X38111Y10269D1*
+X38234D2*
+Y9867D1*
+X38368D1*
+X38426Y9886D1*
+X38464Y9924D1*
+X38483Y9963D1*
+X38502Y10020D1*
+Y10116D1*
+X38483Y10173D1*
+X38464Y10211D1*
+X38426Y10250D1*
+X38368Y10269D1*
+X38234D1*
+X38626D2*
+X38779Y10077D1*
+Y9867D1*
+X38932Y10269D2*
+X38779Y10077D1*
+X39055Y10269D2*
+Y9982D1*
+X39074Y9924D1*
+X39113Y9886D1*
+X39170Y9867D1*
+X39208D1*
+X39266Y9886D1*
+X39304Y9924D1*
+X39323Y9982D1*
+Y10269D1*
+X39447D2*
+Y9867D1*
+X39715Y10269D2*
+X39447Y10001D1*
+X39542Y10097D2*
+X39715Y9867D1*
+X39838Y10269D2*
+Y9867D1*
+X40106Y10269D2*
+Y9867D1*
+X39838Y10077D2*
+X40106D1*
+X40230Y10269D2*
+Y9867D1*
+X40621Y10269D2*
+Y9867D1*
+X40353Y10269D1*
+Y9867D1*
+X36125Y11644D2*
+Y11242D1*
+X36297D1*
+X36355Y11261D1*
+X36374Y11280D1*
+X36393Y11318D1*
+Y11376D1*
+X36374Y11414D1*
+X36355Y11433D1*
+X36297Y11452D1*
+X36355Y11472D1*
+X36374Y11491D1*
+X36393Y11529D1*
+Y11567D1*
+X36374Y11605D1*
+X36355Y11625D1*
+X36297Y11644D1*
+X36125D1*
+Y11452D2*
+X36297D1*
+X36516Y11644D2*
+X36669Y11452D1*
+Y11242D1*
+X36822Y11644D2*
+X36669Y11452D1*
+X37641Y11242D2*
+X37488Y11644D1*
+X37335Y11242D1*
+X37392Y11376D2*
+X37584D1*
+X37765Y11644D2*
+Y11242D1*
+X37994D1*
+X38366Y11644D2*
+X38118D1*
+Y11242D1*
+X38366D1*
+X38118Y11452D2*
+X38270D1*
+X38490Y11644D2*
+X38757Y11242D1*
+Y11644D2*
+X38490Y11242D1*
+X39129Y11644D2*
+X38881D1*
+Y11242D1*
+X39129D1*
+X38881Y11452D2*
+X39034D1*
+X39253Y11644D2*
+X39406Y11452D1*
+Y11242D1*
+X39559Y11644D2*
+X39406Y11452D1*
+X26810Y18831D2*
+X27212D1*
+X26810Y18697D2*
+Y18965D1*
+X27212Y19395D2*
+X26810D1*
+X27212Y19242D1*
+X26810Y19089D1*
+X27212D1*
+X26867Y19786D2*
+X26829Y19748D1*
+X26810Y19691D1*
+Y19614D1*
+X26829Y19557D1*
+X26867Y19518D1*
+X26905D1*
+X26944Y19538D1*
+X26963Y19557D1*
+X26982Y19595D1*
+X27020Y19710D1*
+X27039Y19748D1*
+X27059Y19767D1*
+X27097Y19786D1*
+X27154D1*
+X27192Y19748D1*
+X27212Y19691D1*
+Y19614D1*
+X27192Y19557D1*
+X27154Y19518D1*
+X27810Y18831D2*
+X28212D1*
+X27810Y18697D2*
+Y18965D1*
+Y19089D2*
+X28212D1*
+Y19223D1*
+X28192Y19280D1*
+X28154Y19319D1*
+X28116Y19338D1*
+X28059Y19357D1*
+X27963D1*
+X27905Y19338D1*
+X27867Y19319D1*
+X27829Y19280D1*
+X27810Y19223D1*
+Y19089D1*
+Y19480D2*
+X28212D1*
+X28747Y18831D2*
+X29149D1*
+X28747Y18697D2*
+Y18965D1*
+X28843Y19376D2*
+X28805Y19357D1*
+X28766Y19318D1*
+X28747Y19280D1*
+Y19204D1*
+X28766Y19165D1*
+X28805Y19127D1*
+X28843Y19108D1*
+X28900Y19089D1*
+X28996D1*
+X29053Y19108D1*
+X29092Y19127D1*
+X29130Y19165D1*
+X29149Y19204D1*
+Y19280D1*
+X29130Y19318D1*
+X29092Y19357D1*
+X29053Y19376D1*
+X28747Y19499D2*
+X29149D1*
+X28747Y19767D2*
+X29015Y19499D1*
+X28919Y19595D2*
+X29149Y19767D1*
M02*
diff --git a/board/coolgirl.dch b/board/coolgirl.dch
deleted file mode 100644
index 5ca52ca..0000000
--- a/board/coolgirl.dch
+++ /dev/null
Binary files differ
diff --git a/board/coolgirl.dip b/board/coolgirl.dip
index 6626aa0..085cfdf 100644
--- a/board/coolgirl.dip
+++ b/board/coolgirl.dip
Binary files differ
diff --git a/mappers.vh b/mappers.vh
index bb378fe..1cc0b45 100644
--- a/mappers.vh
+++ b/mappers.vh
@@ -1,3 +1,167 @@
+ reg [26:14] cpu_base = 0;
+ reg [20:14] prg_mask = 7'b1111000;
+ reg [17:13] chr_mask = 0;
+ reg [2:0] prg_mode = 0;
+ reg map_rom_on_6000 = 0;
+ reg [7:0] prg_bank_6000 = 0;
+ reg [7:0] prg_bank_a = 0;
+ reg [7:0] prg_bank_b = 6'b111101;
+ reg [7:0] prg_bank_c = 6'b111110;
+ reg [7:0] prg_bank_d = 6'b111111;
+ reg [2:0] chr_mode = 0;
+ reg [7:0] chr_bank_a = 0;
+ reg [7:0] chr_bank_b = 1;
+ reg [7:0] chr_bank_c = 2;
+ reg [7:0] chr_bank_d = 3;
+ reg [7:0] chr_bank_e = 4;
+ reg [7:0] chr_bank_f = 5;
+ reg [7:0] chr_bank_g = 6;
+ reg [7:0] chr_bank_h = 7;
+ reg [4:0] mapper = 0;
+ reg [2:0] flags = 0;
+ reg sram_enabled = 0;
+ reg [1:0] sram_page = 0;
+ reg chr_write_enabled = 0;
+ reg prg_write_enabled = 0;
+ reg [1:0] mirroring = 0;
+ reg lockout = 0;
+
+ // some common registers for all mappers
+ reg [7:0] r0 = 0;
+ reg [7:0] r1 = 0;
+ reg [7:0] r2 = 0;
+ reg [7:0] r3 = 0;
+ reg [7:0] r4 = 0;
+ reg [7:0] r5 = 0;
+
+ reg [7:0] mul1;
+ reg [7:0] mul2;
+ wire [15:0] mul = mul1*mul2;
+
+ // for scanline-based interrupts
+ reg [7:0] irq_scanline_counter = 0;
+ reg [1:0] a12_low_time = 0;
+ reg irq_scanline_reload = 0;
+ reg [7:0] irq_scanline_latch = 0;
+ reg irq_scanline_reload_clear = 0;
+ reg irq_scanline_enabled = 0;
+ reg irq_scanline_value = 0;
+ reg irq_scanline_ready = 0;
+ reg irq_scanline_out = 0;
+ reg [7:0] scanline = 0;
+ reg [9:0] ppu_rd_hi_time = 0;
+ reg new_screen = 0;
+ reg new_screen_clear = 0;
+
+ // for CPU interrupts
+ reg [15:0] irq_cpu_value = 0;
+ reg irq_cpu_out = 0;
+ reg [3:0] irq_cpu_control = 0;
+ reg [15:0] irq_cpu_latch = 0;
+ reg [6:0] vrc4_irq_prescaler = 0;
+ reg [1:0] vrc4_irq_prescaler_counter = 0;
+
+ // for MMC2/MMC4
+ reg ppu_latch0 = 0;
+ reg ppu_latch1 = 0;
+ reg ppu_mapper_163_latch = 0;
+
+ reg writed;
+
+ wire cpu_data_out_enabled;
+ wire [7:0] cpu_data_out;
+ assign {cpu_data_out_enabled, cpu_data_out} =
+ (m2 & romsel & cpu_rw_in) ?
+ (
+ ((mapper == 0) && (cpu_addr_in[14:12] == 3'b101)) ? {8'b10000000, new_dendy} :
+ (USE_MAPPER_163 && (mapper == 5'b00110) && ({cpu_addr_in[14:12],cpu_addr_in[10:8]} == 6'b101001)) ?
+ {1'b1, r2 | r0 | r1 | ~r3} :
+ (USE_MAPPER_163 && (mapper == 5'b00110) && ({cpu_addr_in[14:12],cpu_addr_in[10:8]} == 6'b101101)) ?
+ {1'b1, r5[0] ? r2 : r1} :
+ //(USE_MAPPER_090_MUL && (mapper == 5'b01101) && (cpu_addr_in[14:0] == 15'h5800)) ? {1'b1, mul[7:0]} :
+ //(USE_MAPPER_090_MUL && (mapper == 5'b01101) && (cpu_addr_in[14:0] == 15'h5801)) ? {1'b1, mul[15:8]} :
+ 9'b000000000
+ ): 9'b000000000;
+
+ assign ppu_ciram_a10 = (USE_MAPPER_118 & (mapper == 5'b10100) & flags[0]) ? ppu_addr_mapped[17] :
+ (mirroring[1] ? mirroring[0] : (mirroring[0] ? ppu_addr_in[11] : ppu_addr_in[10])); // vertical / horizontal, 1Sa, 1Sb
+
+ wire [20:13] cpu_addr_mapped = (map_rom_on_6000 & romsel & m2) ? prg_bank_6000 :
+ (
+ prg_mode[2] ? (
+ prg_mode[1] ? (
+ prg_mode[0] ? (
+ // 111 - 0x8000(A)
+ {prg_bank_a[7:2], cpu_addr_in[14:13]}
+ ) : (
+ // 110 - 0x8000(B)
+ {prg_bank_b[7:2], cpu_addr_in[14:13]}
+ )
+ ) : ( // prg_mode[1]
+ prg_mode[0] ? (
+ // 101 - 0x2000(C)+0x2000(B)+0x2000(A)+0x2000(D)
+ cpu_addr_in[14] ? (cpu_addr_in[13] ? prg_bank_d : prg_bank_a) : (cpu_addr_in[13] ? prg_bank_b : prg_bank_c)
+ ) : ( // prg_mode[0]
+ // 100 - 0x2000(A)+0x2000(B)+0x2000(C)+0x2000(D)
+ cpu_addr_in[14] ? (cpu_addr_in[13] ? prg_bank_d : prg_bank_c) : (cpu_addr_in[13] ? prg_bank_b : prg_bank_a)
+ )
+ )
+ ) : ( // prg_mode[2]
+ prg_mode[0] ? (
+ // 0x1 - 0x4000(C) + 0x4000 (A)
+ {cpu_addr_in[14] ? prg_bank_a[7:1] : prg_bank_c[7:1], cpu_addr_in[13]}
+ ) : ( // prg_mode[0]
+ // 0x0 - 0x4000(A) + 0x4000 (С)
+ {cpu_addr_in[14] ? prg_bank_c[7:1] : prg_bank_a[7:1], cpu_addr_in[13]}
+ )
+ )
+ );
+
+ wire [17:10] ppu_addr_mapped = chr_mode[2] ? (
+ chr_mode[1] ? (
+ chr_mode[0] ? (
+ // 111 - 0x400(A)+0x400(B)+0x400(C)+0x400(D)+0x400(E)+0x400(F)+0x400(G)+0x400(H)
+ ppu_addr_in[12] ?
+ (ppu_addr_in[11] ? (ppu_addr_in[10] ? chr_bank_h : chr_bank_g) :
+ (ppu_addr_in[10] ? chr_bank_f : chr_bank_e)) : (ppu_addr_in[11] ? (ppu_addr_in[10] ? chr_bank_d : chr_bank_c) : (ppu_addr_in[10] ? chr_bank_b : chr_bank_a))
+ ) : ( // chr_mode[0]
+ // 110 - 0x800(A)+0x800(C)+0x800(E)+0x800(G)
+ {ppu_addr_in[12] ?
+ (ppu_addr_in[11] ? chr_bank_g[7:1] : chr_bank_e[7:1]) :
+ (ppu_addr_in[11] ? chr_bank_c[7:1] : chr_bank_a[7:1]), ppu_addr_in[10]}
+ )
+ ) : ( // chr_mode[1]
+ // 100 - 0x1000(A) + 0x1000(E)
+ // 101 - 0x1000(A/B) + 0x1000(E/F) - MMC2 и MMC4
+ {ppu_addr_in[12] ?
+ (((USE_MAPPER_009_010) && chr_mode[0] && ppu_latch1) ? chr_bank_f[7:2] : chr_bank_e[7:2]) :
+ (((USE_MAPPER_009_010) && chr_mode[0] && ppu_latch0) ? chr_bank_b[7:2] : chr_bank_a[7:2]),
+ ppu_addr_in[11:10]}
+ )
+ ) : ( // chr_mode[2]
+ chr_mode[1] ? (
+ // 010 - 0x800(A)+0x800(C)+0x400(E)+0x400(F)+0x400(G)+0x400(H)
+ // 011 - 0x400(E)+0x400(F)+0x400(G)+0x400(H)+0x800(A)+0x800(С)
+ (ppu_addr_in[12]^chr_mode[0]) ?
+ (ppu_addr_in[11] ?
+ (ppu_addr_in[10] ? chr_bank_h : chr_bank_g) :
+ (ppu_addr_in[10] ? chr_bank_f : chr_bank_e)
+ ) : (
+ ppu_addr_in[11] ? {chr_bank_c[7:1],ppu_addr_in[10]} : {chr_bank_a[7:1],ppu_addr_in[10]}
+ )
+ ) : ( // chr_mode[1]
+ (USE_MAPPER_163 && chr_mode[0]) ? (
+ // 001 - Mapper #163 special
+ {ppu_mapper_163_latch, ppu_addr_in[11:10]}
+ ) : (
+ // 000 - 0x2000(A)
+ {chr_bank_a[7:3], ppu_addr_in[12:10]}
+ )
+ )
+ );
+
+ assign irq = (irq_scanline_out | irq_cpu_out) ? 1'b0 : 1'bZ;
+
/*
reg romsel_alt = 1;
reg romsel_alt_clean = 0;
@@ -11,6 +175,10 @@
end
*/
+ // for VRC
+ wire vrc_2b_hi = cpu_addr_in[1] | cpu_addr_in[3] | cpu_addr_in[5] | cpu_addr_in[7];
+ wire vrc_2b_low = cpu_addr_in[0] | cpu_addr_in[2] | cpu_addr_in[4] | cpu_addr_in[6];
+
always @ (negedge m2)
begin
if (cpu_rw_in == 1) // read
@@ -27,15 +195,15 @@
begin
case (cpu_addr_in[2:0])
3'b000: // $5xx0
- {prg_mode[2:0], cpu_base[26:22]} = cpu_data_in[7:0]; // PRG mode, CPU base address A26-A22
+ {cpu_base[26:22]} = cpu_data_in[4:0]; // CPU base address A26-A22
3'b001: // $5xx1
cpu_base[21:14] = cpu_data_in[7:0]; // CPU base address A21-A14
3'b010: // $5xx2
- cpu_mask[18:14] = cpu_data_in[4:0]; // CPU mask A18-A14
+ prg_mask[20:14] = cpu_data_in[6:0]; // CPU mask A18-A14
3'b011: // $5xx3
- {chr_mode, chr_bank_a[7:3]} = cpu_data_in[7:0]; // CHR mode, direct chr_bank_a access
+ {prg_mode[2:0], chr_bank_a[7:3]} = cpu_data_in[7:0]; // PRG mode, direct chr_bank_a access
3'b100: // $5xx4
- chr_mask[17:13] = cpu_data_in[4:0]; // CHR mask A17-A13
+ {chr_mode[2:0], chr_mask[17:13]} = cpu_data_in[7:0]; // CHR mode, CHR mask A17-A13
3'b101: // $5xx5
{prg_bank_a[5:1], sram_page[1:0]} = cpu_data_in[6:0]; // direct prg_bank_a access, current SRAM page 0-3
3'b110: // $5xx6
@@ -46,14 +214,57 @@
endcase
end
+ // Mapper #163
+ if (USE_MAPPER_163 && mapper == 5'b00110)
+ begin
+ if (cpu_addr_in[14:0] == 15'h5101)
+ begin
+ if ((r4 != 0) && (cpu_data_in == 0))
+ r5[0] = ~r5[0];
+ r4 = cpu_data_in;
+ end else if ((cpu_addr_in[14:0] == 15'h5100) && (cpu_data_in == 6))
+ begin
+ prg_mode[0] = 0;
+ prg_bank_b = 4'b1100;
+ end else if (cpu_addr_in[14:12] == 3'b101) begin
+ case (cpu_addr_in[9:8])
+ 2'b10: begin
+ prg_mode[0] = 1;
+ prg_bank_a[7:6] = cpu_data_in[1:0];
+ r0 = cpu_data_in;
+ end
+ 2'b00: begin
+ prg_mode[0] = 1;
+ prg_bank_a[5:2] = cpu_data_in[3:0];
+ chr_mode[0] = cpu_data_in[7];
+ r1 = cpu_data_in;
+ end
+ 2'b11: r2 = cpu_data_in;
+ 2'b01: r3 = cpu_data_in;
+ endcase
+ end
+ end
+
// Mapper #87
- if (USE_MAPPER_87 && mapper == 5'b01100)
+ if (USE_MAPPER_087 && mapper == 5'b01100)
begin
if (cpu_addr_in[14] & cpu_addr_in[13]) // $6000-$7FFF
begin
chr_bank_a[4:3] = {cpu_data_in[0], cpu_data_in[1]};
end
end
+
+ // Mapper #90 - JY
+ /*
+ if (USE_MAPPER_090_MUL && mapper == 5'b01101)
+ begin
+ if (cpu_addr_in[14:0] == 15'h5800)
+ mul1 = cpu_data_in;
+ if (cpu_addr_in[14:0] == 15'h5801)
+ mul2 = cpu_data_in;
+ end
+ */
+
// Mapper #189
// It's MMC3 with flag1
if (USE_MAPPER_189 & flags[1] & (mapper == 5'b10100))
@@ -62,14 +273,35 @@
begin
prg_bank_a[5:2] = cpu_data_in[3:0] | cpu_data_in[7:4];
end
+ end
+
+
+ // temp/test
+ /*
+ if (mapper == 5'b11111)
+ begin
+ if (cpu_addr_in[14:0] == 15'h4025)
+ begin
+ mirroring = {1'b0, cpu_data_in[3]};
+ end
end
+ */
end else begin // $8000-$FFFF
+ // temp/test
+ /*
+ if (mapper == 5'b11111)
+ begin
+ prg_bank_6000 = cpu_data_in[4:1] + 4;
+ map_rom_on_6000 = 1;
+ end
+ */
+
// Mapper #2 - UxROM
// flag0 - mapper #71 - for Fire Hawk only.
// other mapper-#71 games are UxROM
if (mapper == 5'b00001)
begin
- if (!USE_FIRE_HAWK | ~flags[0] | (cpu_addr_in[14:12] != 3'b001))
+ if (!USE_MAPPER_071 | ~flags[0] | (cpu_addr_in[14:12] != 3'b001))
begin
prg_bank_a[5:1] = cpu_data_in[4:0];
end else begin // CodeMasters, blah. Mirroring control used only by Fire Hawk
@@ -84,7 +316,7 @@
end
// Mapper #78 - Holy Diver
- if (USE_MAPPER_78 && mapper == 5'b00011)
+ if (USE_MAPPER_078 && mapper == 5'b00011)
begin
prg_bank_a[3:1] = cpu_data_in[2:0];
chr_bank_a[6:3] = cpu_data_in[7:4];
@@ -92,7 +324,7 @@
end
// Mapper #97 - Irem's TAM-S1
- if (USE_IREM_TAMS1 && mapper == 5'b00100)
+ if (USE_MAPPER_097 && mapper == 5'b00100)
begin
prg_bank_a[4:1] = cpu_data_in[3:0];
mirroring = cpu_data_in[7:6] ^ {~cpu_data_in[6], 1'b0};
@@ -104,6 +336,51 @@
prg_bank_a[3:1] = {cpu_data_in[6:4]};
chr_write_enabled = cpu_data_in[0];
end
+
+ // Mapper #18 - Sunsoft-2
+ if (USE_MAPPER_018 && mapper == 5'b00111)
+ begin
+ case ({cpu_addr_in[14:12], cpu_addr_in[1:0]})
+ 5'b00000: prg_bank_a[3:0] = cpu_data_in[3:0]; // $8000
+ 5'b00001: prg_bank_a[7:4] = cpu_data_in[3:0]; // $8001
+ 5'b00010: prg_bank_b[3:0] = cpu_data_in[3:0]; // $8002
+ 5'b00011: prg_bank_b[7:4] = cpu_data_in[3:0]; // $8003
+ 5'b00100: prg_bank_c[3:0] = cpu_data_in[3:0]; // $9000
+ 5'b00101: prg_bank_c[7:4] = cpu_data_in[3:0]; // $9001
+ 5'b00110: ; // $9002
+ 5'b00111: ; // $9003
+ 5'b01000: chr_bank_a[3:0] = cpu_data_in[3:0]; // $A000
+ 5'b01001: chr_bank_a[7:4] = cpu_data_in[3:0]; // $A001
+ 5'b01010: chr_bank_b[3:0] = cpu_data_in[3:0]; // $A002
+ 5'b01011: chr_bank_b[7:4] = cpu_data_in[3:0]; // $A003
+ 5'b01100: chr_bank_c[3:0] = cpu_data_in[3:0]; // $B000
+ 5'b01101: chr_bank_c[7:4] = cpu_data_in[3:0]; // $B001
+ 5'b01110: chr_bank_d[3:0] = cpu_data_in[3:0]; // $B002
+ 5'b01111: chr_bank_d[7:4] = cpu_data_in[3:0]; // $B003
+ 5'b10000: chr_bank_e[3:0] = cpu_data_in[3:0]; // $C000
+ 5'b10001: chr_bank_e[7:4] = cpu_data_in[3:0]; // $C001
+ 5'b10010: chr_bank_f[3:0] = cpu_data_in[3:0]; // $C002
+ 5'b10011: chr_bank_f[7:4] = cpu_data_in[3:0]; // $C003
+ 5'b10100: chr_bank_g[3:0] = cpu_data_in[3:0]; // $D000
+ 5'b10101: chr_bank_g[7:4] = cpu_data_in[3:0]; // $D001
+ 5'b10110: chr_bank_h[3:0] = cpu_data_in[3:0]; // $D002
+ 5'b10111: chr_bank_h[7:4] = cpu_data_in[3:0]; // $D003
+ 5'b11000: irq_cpu_latch[3:0] = cpu_data_in[3:0]; // $E000
+ 5'b11001: irq_cpu_latch[7:4] = cpu_data_in[3:0]; // $E001
+ 5'b11010: irq_cpu_latch[11:8] = cpu_data_in[3:0]; // $E002
+ 5'b11011: irq_cpu_latch[15:12] = cpu_data_in[3:0]; // $E003
+ 5'b11100: begin // $F000
+ irq_cpu_value[15:0] = irq_cpu_latch[15:0];
+ irq_cpu_out = 0;
+ end
+ 5'b11101: begin // $F001
+ irq_cpu_control[3:0] = cpu_data_in[3:0];
+ irq_cpu_out = 0;
+ end
+ 5'b11110: mirroring = cpu_data_in[1:0] ^ {1'b0, ~cpu_data_in[1]}; // $F002
+ 5'b11111: ; // $F003 - sound
+ endcase
+ end
// Mapper #7 - AxROM
if (mapper == 5'b01000)
@@ -113,7 +390,7 @@
end
// Mapper #228 - Cheetahmen II
- if (USE_CHEETAHMEN2 && mapper == 5'b01001)
+ if (USE_MAPPER_228 && mapper == 5'b01001)
begin
prg_bank_a[5:2] = cpu_addr_in[10:7];
chr_bank_a[7:3] = {/*cpu_addr_in[3]*/cpu_addr_in[2:0], cpu_data_in[1:0]}; // only 256k, sorry
@@ -121,19 +398,66 @@
end
// Mapper #11 - ColorDreams
- if (USE_COLOR_DREAMS && mapper == 5'b01010)
+ if (USE_MAPPER_011 && mapper == 5'b01010)
begin
prg_bank_a[3:2] = cpu_data_in[1:0];
chr_bank_a[6:3] = cpu_data_in[7:4];
end
// Mapper #66 - GxROM
- if (USE_GxROM && mapper == 5'b01011)
+ if (USE_MAPPER_066 && mapper == 5'b01011)
begin
prg_bank_a[3:2] = cpu_data_in[5:4];
chr_bank_a[4:3] = cpu_data_in[1:0];
end
+ // Mapper #90 - JY
+ if (USE_MAPPER_090 && mapper == 5'b01101)
+ begin
+ if (cpu_addr_in[14:12] == 3'b000) // $800x
+ begin
+ case (cpu_addr_in[1:0])
+ 2'b00: prg_bank_a = cpu_data_in;
+ 2'b01: prg_bank_b = cpu_data_in;
+ 2'b10: prg_bank_c = cpu_data_in;
+ 2'b11: prg_bank_d = cpu_data_in;
+ endcase
+ end
+ if (cpu_addr_in[14:12] == 3'b001) // $900x
+ begin
+ case (cpu_addr_in[2:0])
+ 3'b000: chr_bank_a = cpu_data_in;
+ 3'b001: chr_bank_b = cpu_data_in;
+ 3'b010: chr_bank_c = cpu_data_in;
+ 3'b011: chr_bank_d = cpu_data_in;
+ 3'b100: chr_bank_e = cpu_data_in;
+ 3'b101: chr_bank_f = cpu_data_in;
+ 3'b110: chr_bank_g = cpu_data_in;
+ 3'b111: chr_bank_h = cpu_data_in;
+ endcase
+ end
+ if ({cpu_addr_in[14:12], cpu_addr_in[1:0]} == 5'b10101) // $D001
+ mirroring = cpu_data_in[1:0];
+ /*
+ if (USE_MAPPER_090_INTERRUPTS && cpu_addr_in[14:12] == 3'b100) // $C00x
+ begin
+ case (cpu_addr_in[2:0])
+ 3'b000: irq_scanline_enabled = cpu_data_in[0];
+ 3'b001: ;
+ 3'b010: irq_scanline_enabled = 0;
+ 3'b011: irq_scanline_enabled = 1;
+ 3'b100: ;
+ 3'b101: begin
+ irq_scanline_latch = cpu_data_in ^ r0;
+ irq_scanline_reload = 1;
+ end
+ 3'b110: r0 = cpu_data_in;
+ 3'b111: ;
+ endcase
+ end
+ */
+ end
+
// Mapper #1 - MMC1
/*
r0 - load register
@@ -194,10 +518,10 @@
// Mapper #9 and #10 - MMC2 and MMC4
// flag0 - 0=MMC2, 1=MMC4
- if ((USE_MMC2 | USE_MMC4) && mapper[4:0] == 5'b10001)
+ if ((USE_MAPPER_009_010 | USE_MAPPER_009_010) && mapper[4:0] == 5'b10001)
begin
case (cpu_addr_in[14:12])
- 3'b010: if ((USE_MMC2 & ~flags[0]) | !USE_MMC4) // $A000-$AFFF
+ 3'b010: if (~flags[0]) // $A000-$AFFF
prg_bank_a[3:0] = cpu_data_in[3:0];
else
prg_bank_a[4:1] = cpu_data_in[3:0];
@@ -254,7 +578,7 @@
// Mappers #33 + #48 - Taito
// flag0=0 - #33, flag0=1 - #48
- if (USE_TAITO && (mapper[4:0] == 5'b10110))
+ if (USE_MAPPER_033_048 && (mapper[4:0] == 5'b10110))
begin
case ({cpu_addr_in[14:13], cpu_addr_in[1:0]})
4'b0000: begin
@@ -269,9 +593,9 @@
4'b0101: chr_bank_f = cpu_data_in; // $A001, CHR Reg 2 (1k @ $1400)
4'b0110: chr_bank_g = cpu_data_in; // $A002, CHR Reg 2 (1k @ $1800)
4'b0111: chr_bank_h = cpu_data_in; // $A003, CHR Reg 2 (1k @ $1C00)
- 4'b1100: if (flags[0]) mirroring = cpu_data_in[6]; // $E000, mirroring, for mapper #48
+ 4'b1100: if (flags[0]) mirroring = {1'b0, cpu_data_in[6]}; // $E000, mirroring, for mapper #48
endcase
- if (USE_TAITO_INTERRUPTS)
+ if (USE_MAPPER_048_INTERRUPTS)
begin
case ({cpu_addr_in[14:13], cpu_addr_in[1:0]})
4'b1000: irq_scanline_latch = ~cpu_data_in; // $C000, IRQ latch
@@ -287,7 +611,7 @@
flag0 - switches A0 and A1 lines. 0=A0,A1 like VRC2b (mapper #23), 1=A1,A0 like VRC2a(#22), VRC2c(#25)
flag1 - divides CHR bank select by two (mapper #22, VRC2a)
*/
- if (USE_VRC2 && mapper == 5'b11000)
+ if (USE_MAPPER_021_022_023_025 && mapper == 5'b11000)
begin
case ({cpu_addr_in[14:12], flags[0] ? vrc_2b_low : vrc_2b_hi, flags[0] ? vrc_2b_hi : vrc_2b_low})
5'b00000,
@@ -295,7 +619,7 @@
5'b00010,
5'b00011: prg_bank_a[4:0] = cpu_data_in[4:0]; // $8000-$8003, PRG0
5'b00100,
- 5'b00101: mirroring = {1'b0, cpu_data_in[0]}; // $9000-$9001, mirroring
+ 5'b00101: if (cpu_data_in != 8'b11111111) mirroring = cpu_data_in[1:0]; // $9000-$9001, mirroring
5'b00110,
5'b00111: prg_mode[0] = cpu_data_in[1]; // $9002-$9004, PRG swap
5'b01000,
@@ -304,7 +628,7 @@
5'b01011: prg_bank_b[4:0] = cpu_data_in[4:0]; // $A000-$A003, PRG1
endcase
// flags[0] to shift lines
- if (!USE_VRC2a | ~flags[1])
+ if (!USE_MAPPER_022 | ~flags[1])
begin
case ({cpu_addr_in[14:12], flags[0] ? vrc_2b_low : vrc_2b_hi, flags[0] ? vrc_2b_hi : vrc_2b_low})
5'b01100: chr_bank_a[3:0] = cpu_data_in[3:0]; // $B000, CHR0 low
@@ -351,15 +675,15 @@
if (cpu_addr_in[14:12] == 3'b111)
begin
case ({flags[0] ? vrc_2b_low : vrc_2b_hi, flags[0] ? vrc_2b_hi : vrc_2b_low})
- 2'b00: vrc4_irq_latch[3:0] = cpu_data_in[3:0]; // IRQ latch low
- 2'b01: vrc4_irq_latch[7:4] = cpu_data_in[3:0]; // IRQ latch hi
+ 2'b00: irq_cpu_latch[3:0] = cpu_data_in[3:0]; // IRQ latch low
+ 2'b01: irq_cpu_latch[7:4] = cpu_data_in[3:0]; // IRQ latch hi
2'b10: begin // IRQ control
irq_cpu_out = 0; // ack
irq_cpu_control[2:0] = cpu_data_in[2:0]; // mode, enabled, enabled after ack
if (irq_cpu_control[1]) begin // if E is set
vrc4_irq_prescaler_counter = 2'b00; // reset prescaler
vrc4_irq_prescaler = 0;
- irq_cpu_value[7:0] = vrc4_irq_latch; // reload with latch
+ irq_cpu_value[7:0] = irq_cpu_latch[7:0]; // reload with latch
end
end
2'b11: begin // IRQ ack
@@ -375,7 +699,7 @@
/*
r0 - command register
*/
- if (USE_SUNSOFT && mapper == 5'b11001)
+ if (USE_MAPPER_069 && mapper == 5'b11001)
begin
if (cpu_addr_in[14:13] == 2'b00) r0[3:0] = cpu_data_in[3:0];
if (cpu_addr_in[14:13] == 2'b01)
@@ -389,7 +713,7 @@
4'b0101: chr_bank_f = cpu_data_in; // CHR5
4'b0110: chr_bank_g = cpu_data_in; // CHR6
4'b0111: chr_bank_h = cpu_data_in; // CHR7
- 4'b1000: {sram_enabled, map_rom_on_6000, prg_bank_6000} = {cpu_data_in[7], ~cpu_data_in[6], cpu_data_in[5:0]}; // PRG0
+ 4'b1000: {sram_enabled, map_rom_on_6000, prg_bank_6000[5:0]} = {cpu_data_in[7], ~cpu_data_in[6], cpu_data_in[5:0]}; // PRG0
4'b1001: prg_bank_a[5:0] = cpu_data_in[5:0]; // PRG1
4'b1010: prg_bank_b[5:0] = cpu_data_in[5:0]; // PRG2
4'b1011: prg_bank_c[5:0] = cpu_data_in[5:0]; // PRG3
@@ -405,7 +729,7 @@
end
// Mapper #32 - IREM G-101
- if (USE_IREM_G101 && mapper == 5'b11010)
+ if (USE_MAPPER_032 && mapper == 5'b11010)
begin
case (cpu_addr_in[13:12])
2'b00: prg_bank_a[5:0] = cpu_data_in[5:0]; // PRG0
@@ -433,16 +757,16 @@
irq_scanline_reload = 0;
// IRQ for VRC4
- if (USE_VRC2 & USE_VRC4_INTERRUPTS & (mapper == 5'b11000) & (irq_cpu_control[1]))
+ if (USE_MAPPER_021_022_023_025 & USE_VRC4_INTERRUPTS & (mapper == 5'b11000) & (irq_cpu_control[1]))
begin
// Cycle mode without prescaler is not used by any games? It's missed in fceux source code.
if (irq_cpu_control[2]) // cycle mode
begin
- irq_cpu_value[7:0] = (irq_cpu_value[7:0] + 1'b1); // just count IRQ value
+ irq_cpu_value[7:0] = irq_cpu_value[7:0] + 1'b1; // just count IRQ value
if (irq_cpu_value[7:0] == 0)
begin
irq_cpu_out = 1;
- irq_cpu_value[7:0] = vrc4_irq_latch;
+ irq_cpu_value[7:0] = irq_cpu_latch[7:0];
end
end else begin // scanline mode
vrc4_irq_prescaler = vrc4_irq_prescaler + 1'b1; // count prescaler
@@ -455,19 +779,41 @@
if (irq_cpu_value[7:0] == 0)
begin
irq_cpu_out = 1;
- irq_cpu_value[7:0] = vrc4_irq_latch;
+ irq_cpu_value[7:0] = irq_cpu_latch[7:0];
end
end
end
end
// IRQ for Sunsoft FME-7
- if (USE_SUNSOFT & (mapper == 5'b11001) & (irq_cpu_control[1]))
+ if (USE_MAPPER_069 & (mapper == 5'b11001) & (irq_cpu_control[1]))
begin
if ((irq_cpu_value[15:0] == 0) & irq_cpu_control[0]) irq_cpu_out = 1;
irq_cpu_value[15:0] = irq_cpu_value[15:0] - 1'b1;
end
+ // Mapper #18 - Sunsoft-2
+ if (USE_MAPPER_018 && mapper == 5'b00111)
+ begin
+ if (irq_cpu_control[0])
+ begin
+ if (irq_cpu_control[3])
+ begin
+ if (irq_cpu_value[3:0] == 0) irq_cpu_out = 1;
+ irq_cpu_value[3:0] = irq_cpu_value[3:0] - 1'b1;
+ end else if (irq_cpu_control[2]) begin
+ if (irq_cpu_value[7:0] == 0) irq_cpu_out = 1;
+ irq_cpu_value[7:0] = irq_cpu_value[7:0] - 1'b1;
+ end else if (irq_cpu_control[1]) begin
+ if (irq_cpu_value[11:0] == 0) irq_cpu_out = 1;
+ irq_cpu_value[11:0] = irq_cpu_value[11:0] - 1'b1;
+ end else begin
+ if (irq_cpu_value[15:0] == 0) irq_cpu_out = 1;
+ irq_cpu_value[15:0] = irq_cpu_value[15:0] - 1'b1;
+ end
+ end
+ end
+
/*
if (~romsel_alt)
romsel_alt_clean = 1;
@@ -492,7 +838,7 @@
// IRQ counter
always @ (posedge ppu_addr_in[12])
- begin
+ begin
if (a12_low_time == 3)
begin
if ((irq_scanline_reload && !irq_scanline_reload_clear) || (irq_scanline_counter == 0))
@@ -507,6 +853,24 @@
irq_scanline_value = 0;
end
if (!irq_scanline_reload) irq_scanline_reload_clear = 0;
+
+ // Scanline counter for mapper #163
+ if (USE_MAPPER_163)
+ begin
+ if (new_screen & ~new_screen_clear)
+ begin
+ scanline = 0;
+ new_screen_clear = 1;
+ end else if (a12_low_time >= 3)
+ begin
+ if (~new_screen && new_screen_clear) new_screen_clear = 0;
+ scanline = scanline + 1'b1;
+ if (scanline == 240)
+ ppu_mapper_163_latch = 0;
+ if (scanline == 128)
+ ppu_mapper_163_latch = 1;
+ end
+ end
end
// A12 must be low for 3 rises of M2
@@ -518,10 +882,23 @@
a12_low_time = a12_low_time + 1'b1;
end
+ // V-blank detector
+ always @ (negedge m2, negedge ppu_rd_in)
+ begin
+ if (~ppu_rd_in)
+ begin
+ ppu_rd_hi_time = 0;
+ if (new_screen_clear) new_screen = 0;
+ end else if (ppu_rd_hi_time < 9'b111111111)
+ begin
+ ppu_rd_hi_time = ppu_rd_hi_time + 1'b1;
+ end else new_screen = 1;
+ end
+
// for MMC2/MMC4
always @ (negedge ppu_rd_in)
begin
- if (USE_MMC2 | USE_MMC4)
+ if (USE_MAPPER_009_010)
begin
if (ppu_addr_in[13:3] == 11'b00111111011) ppu_latch0 = 0;
if (ppu_addr_in[13:3] == 11'b00111111101) ppu_latch0 = 1;
@@ -529,3 +906,5 @@
if (ppu_addr_in[13:3] == 11'b01111111101) ppu_latch1 = 1;
end
end
+
+ \ No newline at end of file