Welcome to mirror list, hosted at ThFree Co, Russian Federation.

github.com/ClusterM/flipperzero-firmware.git - Unnamed repository; edit this file 'description' to name the repository.
summaryrefslogtreecommitdiff
AgeCommit message (Collapse)Author
2021-11-26[FL-2051] BadUSB: new GUI (#844)Nikolay Minaylov
* [FL-2051] BadUsb: new GUI * add missing assets * skip empty lines and leading spaces * SubGhz: add alignment check to keystore mess with iv routine Co-authored-by: あく <alleteam@gmail.com>
2021-11-21USB-UART: New GUI (#826)Nikolay Minaylov
* USB-UART: new gui * Furi: use furi_console for logging instead of printf. * CDC: calling open/close callbacks on interface change * fix vcp_tx block on disconnect * USB mode set by struct pointer * FuriHal: proper event sequence on vcp reconnect * disable debug prints * HAL: add context to UART IRQ's * Context usage in UART IRQ and CDC callbacks * USB-UART: geting rid of baudrate limitations * FuriHal: remove struct pollutant in usb api. Co-authored-by: あく <alleteam@gmail.com> Co-authored-by: DrZlo13 <who.just.the.doctor@gmail.com>
2021-11-20UART echo app (#831)SG
* HAL: add context to UART IRQ's * Apps: uart echo/log application * Sync api * Another api sync
2021-11-11[FL-2010] furi-hal-vcp rework (#812)Nikolay Minaylov
* [FL-2010] furi-hal-vcp rework * Fix connect state change on vcp enable * New thread naming scheme and stack size adjustment. * Applications: rename worker threads to match new naming scheme. Co-authored-by: Aleksandr Kutuzov <alleteam@gmail.com>
2021-11-04[FL-1984, FL-2004, FL-2010] USB CDC Fixes (#801)Nikolay Minaylov
* [FL-1984, FL-2004] USB-UART Fixes, test with high timer task priority * added forgotten file * switch from EventFlags to ThreadFlags * [FL-1984, FL-2010] USB-UART and furi-hal-vcp rework * Scripts: modernize string formatting. Co-authored-by: あく <alleteam@gmail.com>
2021-10-26[FL-1984] USB-UART improvements and fixes (#785)Nikolay Minaylov
* [FL-1984] USB-UART fixes * FuriHal: fix SOF wait on CDC0 * FuriHal: fixed stuck in UART IRQ with ORE event Co-authored-by: あく <alleteam@gmail.com>
2021-10-21[FL-1885] USB-UART bridge (#778)Nikolay Minaylov
* [FL-1885] USB-UART: app and worker * [FL-1885] USB-UART: UART on CDC0 Co-authored-by: Aleksandr Kutuzov <alleteam@gmail.com>
2021-09-30[FL-1884] GPIO application (#732)gornekich
* gpio: rename gpio application * bq25896: add reading OTG config * furi-hal-power: add is_otg_enabled API * gpio: introduce new GPIO app, add OTG enable / disable * variable-item-list: add enter callback * gpio: add output test view and scene * gpio app: fix GpioItemTester -> GpioItemTest Co-authored-by: あく <alleteam@gmail.com>