From 95ae85a8ef45d11e222acc8021bc35c55ae39fa6 Mon Sep 17 00:00:00 2001 From: Davide Beatrici Date: Tue, 9 Mar 2021 05:23:37 +0100 Subject: Import project --- Memory.h | 17 +++++++++++++++++ 1 file changed, 17 insertions(+) create mode 100644 Memory.h (limited to 'Memory.h') diff --git a/Memory.h b/Memory.h new file mode 100644 index 0000000..afb7655 --- /dev/null +++ b/Memory.h @@ -0,0 +1,17 @@ +#ifndef MEMORY_H +#define MEMORY_H + +#include +#include + +#ifdef BYTE_ORDER_BIG_ENDIAN +#define BigEndian32 +#else +#define BigEndian32 Swap32 +#endif + +size_t CompressionBufferSize(const size_t original_size); + +uint32_t Swap32(const uint32_t value); + +#endif -- cgit v1.2.3