Welcome to mirror list, hosted at ThFree Co, Russian Federation.

github.com/coolgirl-multicart/coolgirl-famicom-multicart.git - Unnamed repository; edit this file 'description' to name the repository.
summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorAlexey 'Cluster' Avdyukhin <clusterrr@clusterrr.com>2016-05-10 21:46:34 +0300
committerAlexey 'Cluster' Avdyukhin <clusterrr@clusterrr.com>2016-05-10 21:46:34 +0300
commit16384ff6b47af966f3aca60cb1a9b0a6f211d95e (patch)
treef75dfa3d8e681614fee9c2772ae1f08ef199d9d0
parent44d7806164d800f3e13dd3804d0b7b2d8b94acad (diff)
Hotfix, again
-rw-r--r--CoolGirl.qsf1
-rw-r--r--CoolGirl.v4
2 files changed, 2 insertions, 3 deletions
diff --git a/CoolGirl.qsf b/CoolGirl.qsf
index 06af763..0383daf 100644
--- a/CoolGirl.qsf
+++ b/CoolGirl.qsf
@@ -139,6 +139,5 @@ set_global_assignment -name ENABLE_CONFIGURATION_PINS OFF
set_global_assignment -name ENABLE_BOOT_SEL_PIN OFF
set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER OFF
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS OUTPUT DRIVING GROUND"
-set_global_assignment -name VERILOG_FILE mappers.v
set_global_assignment -name VERILOG_FILE CoolGirl.v
set_global_assignment -name CDF_FILE output_files/CoolGirl.cdf \ No newline at end of file
diff --git a/CoolGirl.v b/CoolGirl.v
index c08dc9c..de669fe 100644
--- a/CoolGirl.v
+++ b/CoolGirl.v
@@ -4,7 +4,7 @@ module CoolGirl # (
parameter USE_VRC4_INTERRUPTS = 0, // for VRC4
parameter USE_TAITO = 0, // mappers #33 & #48
parameter USE_TAITO_INTERRUPTS = 0, // mapper #48
- parameter USE_SUNSOFT = 0, // mapper #69
+ parameter USE_SUNSOFT = 1, // mapper #69
parameter USE_MAPPER_78 = 0, // mapper #78 - Holy Diver
parameter USE_COLOR_DREAMS = 0, // mapper #11
parameter USE_GxROM = 0, // mapper #66
@@ -185,6 +185,6 @@ module CoolGirl # (
)
);
-`include "mappers.v"
+`include "mappers.vh"
endmodule