Welcome to mirror list, hosted at ThFree Co, Russian Federation.

github.com/coolgirl-multicart/coolgirl-famicom-multicart.git - Unnamed repository; edit this file 'description' to name the repository.
summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorAlexey 'Cluster' Avdyukhin <clusterrr@clusterrr.com>2016-05-10 21:41:42 +0300
committerAlexey 'Cluster' Avdyukhin <clusterrr@clusterrr.com>2016-05-10 21:41:42 +0300
commit44d7806164d800f3e13dd3804d0b7b2d8b94acad (patch)
treef335c3d057acaf650f31be0e8e6c535c50fbcb3a
parentccf7544de04f11bab4a795c374bd5c21b29cd595 (diff)
Hotfix
-rw-r--r--CoolGirl.qsf7
-rw-r--r--CoolGirl.v2
2 files changed, 5 insertions, 4 deletions
diff --git a/CoolGirl.qsf b/CoolGirl.qsf
index 72f7a9f..06af763 100644
--- a/CoolGirl.qsf
+++ b/CoolGirl.qsf
@@ -124,13 +124,11 @@ set_location_assignment PIN_67 -to romsel
set_location_assignment PIN_76 -to sram_ce
set_location_assignment PIN_75 -to sram_oe
set_location_assignment PIN_74 -to sram_we
-set_global_assignment -name VERILOG_FILE CoolGirl.v
set_global_assignment -name AUTO_RESTART_CONFIGURATION OFF
set_global_assignment -name ENABLE_DEVICE_WIDE_RESET ON
set_global_assignment -name USE_CONFIGURATION_DEVICE ON
set_global_assignment -name VERILOG_INPUT_VERSION SYSTEMVERILOG_2005
set_global_assignment -name VERILOG_SHOW_LMF_MAPPING_MESSAGES OFF
-set_global_assignment -name CDF_FILE output_files/CoolGirl.cdf
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to romsel
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to cpu_rw_in
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ppu_rd_in
@@ -140,4 +138,7 @@ set_global_assignment -name ENABLE_OCT_DONE OFF
set_global_assignment -name ENABLE_CONFIGURATION_PINS OFF
set_global_assignment -name ENABLE_BOOT_SEL_PIN OFF
set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER OFF
-set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS OUTPUT DRIVING GROUND" \ No newline at end of file
+set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS OUTPUT DRIVING GROUND"
+set_global_assignment -name VERILOG_FILE mappers.v
+set_global_assignment -name VERILOG_FILE CoolGirl.v
+set_global_assignment -name CDF_FILE output_files/CoolGirl.cdf \ No newline at end of file
diff --git a/CoolGirl.v b/CoolGirl.v
index b8e4a38..c08dc9c 100644
--- a/CoolGirl.v
+++ b/CoolGirl.v
@@ -185,6 +185,6 @@ module CoolGirl # (
)
);
-`include "mappers.vh"
+`include "mappers.v"
endmodule