Welcome to mirror list, hosted at ThFree Co, Russian Federation.

github.com/coolgirl-multicart/coolgirl-famicom-multicart.git - Unnamed repository; edit this file 'description' to name the repository.
summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorAlexey 'Cluster' Avdyukhin <clusterrr@clusterrr.com>2016-05-22 00:38:16 +0300
committerAlexey 'Cluster' Avdyukhin <clusterrr@clusterrr.com>2016-05-22 01:21:54 +0300
commit657c89186fa86fb377ea800607ff13f489aaf9c5 (patch)
tree8c64b100b66947623910cdc1f0b505ebec5aa715
parent1efdace39e5bcecc592d3fc05f4a1bda41837306 (diff)
Some fixes
-rw-r--r--CoolGirl.qsf1
-rw-r--r--CoolGirl.v14
-rw-r--r--mappers.vh9
3 files changed, 10 insertions, 14 deletions
diff --git a/CoolGirl.qsf b/CoolGirl.qsf
index 0383daf..c96425d 100644
--- a/CoolGirl.qsf
+++ b/CoolGirl.qsf
@@ -139,5 +139,6 @@ set_global_assignment -name ENABLE_CONFIGURATION_PINS OFF
set_global_assignment -name ENABLE_BOOT_SEL_PIN OFF
set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER OFF
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS OUTPUT DRIVING GROUND"
+set_global_assignment -name VERILOG_INCLUDE_FILE mappers.vh
set_global_assignment -name VERILOG_FILE CoolGirl.v
set_global_assignment -name CDF_FILE output_files/CoolGirl.cdf \ No newline at end of file
diff --git a/CoolGirl.v b/CoolGirl.v
index b8e4a38..5233962 100644
--- a/CoolGirl.v
+++ b/CoolGirl.v
@@ -1,14 +1,14 @@
module CoolGirl # (
- parameter USE_VRC2 = 0, // mappers #21, #22, #23, #25
+ parameter USE_VRC2 = 1, // mappers #21, #22, #23, #25
parameter USE_VRC2a = 0, // mapper #22
- parameter USE_VRC4_INTERRUPTS = 0, // for VRC4
- parameter USE_TAITO = 0, // mappers #33 & #48
- parameter USE_TAITO_INTERRUPTS = 0, // mapper #48
+ parameter USE_VRC4_INTERRUPTS = 1, // for VRC4
+ parameter USE_TAITO = 1, // mappers #33 & #48
+ parameter USE_TAITO_INTERRUPTS = 1, // mapper #48
parameter USE_SUNSOFT = 0, // mapper #69
parameter USE_MAPPER_78 = 0, // mapper #78 - Holy Diver
- parameter USE_COLOR_DREAMS = 0, // mapper #11
+ parameter USE_COLOR_DREAMS = 1, // mapper #11
parameter USE_GxROM = 0, // mapper #66
- parameter USE_CHEETAHMEN2 = 0, // mapper #228
+ parameter USE_CHEETAHMEN2 = 1, // mapper #228
parameter USE_FIRE_HAWK = 0, // for Fire Hawk only (mapper #71)
parameter USE_TxSROM = 0, // mapper #118
parameter USE_IREM_TAMS1 = 0, // mapper #97
@@ -142,7 +142,7 @@ module CoolGirl # (
{cpu_addr_in[14] ? prg_bank_a[5:1] : prg_bank_c[5:1], cpu_addr_in[13]}
) : ( // prg_mode[0]
// 0x0 - 0x4000(A) + 0x4000 (ะก)
- {cpu_addr_in[14] ? 5'b11111 : prg_bank_a[5:1], cpu_addr_in[13]}
+ {cpu_addr_in[14] ? prg_bank_c[5:1] : prg_bank_a[5:1], cpu_addr_in[13]}
)
)
);
diff --git a/mappers.vh b/mappers.vh
index 7e76921..bb378fe 100644
--- a/mappers.vh
+++ b/mappers.vh
@@ -244,7 +244,7 @@
3'b111: if (!USE_MAPPER_189 | ~flags[1]) prg_bank_b[5:0] = cpu_data_in[5:0];
endcase
end
- 3'b010: mirroring = {1'b0, cpu_data_in[0]}; // $A000-$BFFE, even (mirroring)
+ 3'b010: mirroring = cpu_data_in[1:0]; // $A000-$BFFE, even (mirroring)
3'b100: irq_scanline_latch = cpu_data_in; // $C000-$DFFE, even (IRQ latch)
3'b101: irq_scanline_reload = 1; // $C001-$DFFF, odd
3'b110: irq_scanline_enabled = 0; // $E000-$FFFE, even
@@ -258,13 +258,9 @@
begin
case ({cpu_addr_in[14:13], cpu_addr_in[1:0]})
4'b0000: begin
+ prg_bank_a[5:0] = cpu_data_in[5:0]; // $8000, PRG Reg 0 (8k @ $8000)
if (~flags[0]) // #33
- begin
- prg_bank_a[5:0] = cpu_data_in[5:0]; // $8000, PRG Reg 0 (8k @ $8000)
mirroring = cpu_data_in[6];
- end else begin // #48
- prg_bank_a[5:0] = cpu_data_in[5:0]; // $8000, PRG Reg 0 (8k @ $8000)
- end
end
4'b0001: prg_bank_b[5:0] = cpu_data_in[5:0]; // $8001, PRG Reg 1 (8k @ $A000)
4'b0010: chr_bank_a = {cpu_data_in[6:0], 1'b0}; // $8002, CHR Reg 0 (2k @ $0000)
@@ -499,7 +495,6 @@
begin
if (a12_low_time == 3)
begin
- //irq_scanline_counter_last = irq_scanline_counter;
if ((irq_scanline_reload && !irq_scanline_reload_clear) || (irq_scanline_counter == 0))
begin
irq_scanline_counter = irq_scanline_latch;