Welcome to mirror list, hosted at ThFree Co, Russian Federation.

github.com/coolgirl-multicart/coolgirl-famicom-multicart.git - Unnamed repository; edit this file 'description' to name the repository.
summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorAlexey 'Cluster' Avdyukhin <clusterrr@clusterrr.com>2017-07-09 07:35:07 +0300
committerAlexey 'Cluster' Avdyukhin <clusterrr@clusterrr.com>2017-07-09 07:35:07 +0300
commit85285d6450fbc0ad7c0f10349d1c1a381152ac91 (patch)
tree4bcfb0fd491cdc215abe10f118ac3b841bfde4f4
parent459157a1b8c0561d3cba007df09e66e04cab02f1 (diff)
Mapper #42, many fixes
-rw-r--r--CoolGirl.qpf62
-rw-r--r--CoolGirl.qsf306
-rw-r--r--CoolGirl.v230
-rw-r--r--board/BoardOutline.gbr74
-rw-r--r--board/Bottom.gbr6246
-rw-r--r--board/BottomMask.gbr598
-rw-r--r--board/BottomSilk.gbr1352
-rw-r--r--board/Through.drl478
-rw-r--r--board/Top.gbr6544
-rw-r--r--board/TopMask.gbr716
-rw-r--r--board/TopSilk.gbr3066
-rw-r--r--mappers.vh2202
-rw-r--r--schematics/components.txt74
13 files changed, 10988 insertions, 10960 deletions
diff --git a/CoolGirl.qpf b/CoolGirl.qpf
index 6519ccc..11d815a 100644
--- a/CoolGirl.qpf
+++ b/CoolGirl.qpf
@@ -1,31 +1,31 @@
-# -------------------------------------------------------------------------- #
-#
-# Copyright (C) 1991-2015 Altera Corporation. All rights reserved.
-# Your use of Altera Corporation's design tools, logic functions
-# and other software and tools, and its AMPP partner logic
-# functions, and any output files from any of the foregoing
-# (including device programming or simulation files), and any
-# associated documentation or information are expressly subject
-# to the terms and conditions of the Altera Program License
-# Subscription Agreement, the Altera Quartus Prime License Agreement,
-# the Altera MegaCore Function License Agreement, or other
-# applicable license agreement, including, without limitation,
-# that your use is for the sole purpose of programming logic
-# devices manufactured by Altera and sold by Altera or its
-# authorized distributors. Please refer to the applicable
-# agreement for further details.
-#
-# -------------------------------------------------------------------------- #
-#
-# Quartus Prime
-# Version 15.1.0 Build 185 10/21/2015 SJ Lite Edition
-# Date created = 19:53:22 May 05, 2016
-#
-# -------------------------------------------------------------------------- #
-
-QUARTUS_VERSION = "15.1"
-DATE = "19:53:22 May 05, 2016"
-
-# Revisions
-
-PROJECT_REVISION = "CoolGirl"
+# -------------------------------------------------------------------------- #
+#
+# Copyright (C) 1991-2015 Altera Corporation. All rights reserved.
+# Your use of Altera Corporation's design tools, logic functions
+# and other software and tools, and its AMPP partner logic
+# functions, and any output files from any of the foregoing
+# (including device programming or simulation files), and any
+# associated documentation or information are expressly subject
+# to the terms and conditions of the Altera Program License
+# Subscription Agreement, the Altera Quartus Prime License Agreement,
+# the Altera MegaCore Function License Agreement, or other
+# applicable license agreement, including, without limitation,
+# that your use is for the sole purpose of programming logic
+# devices manufactured by Altera and sold by Altera or its
+# authorized distributors. Please refer to the applicable
+# agreement for further details.
+#
+# -------------------------------------------------------------------------- #
+#
+# Quartus Prime
+# Version 15.1.0 Build 185 10/21/2015 SJ Lite Edition
+# Date created = 19:53:22 May 05, 2016
+#
+# -------------------------------------------------------------------------- #
+
+QUARTUS_VERSION = "15.1"
+DATE = "19:53:22 May 05, 2016"
+
+# Revisions
+
+PROJECT_REVISION = "CoolGirl"
diff --git a/CoolGirl.qsf b/CoolGirl.qsf
index d6afdeb..5555aee 100644
--- a/CoolGirl.qsf
+++ b/CoolGirl.qsf
@@ -1,154 +1,154 @@
-# -------------------------------------------------------------------------- #
-#
-# Copyright (C) 1991-2015 Altera Corporation. All rights reserved.
-# Your use of Altera Corporation's design tools, logic functions
-# and other software and tools, and its AMPP partner logic
-# functions, and any output files from any of the foregoing
-# (including device programming or simulation files), and any
-# associated documentation or information are expressly subject
-# to the terms and conditions of the Altera Program License
-# Subscription Agreement, the Altera Quartus Prime License Agreement,
-# the Altera MegaCore Function License Agreement, or other
-# applicable license agreement, including, without limitation,
-# that your use is for the sole purpose of programming logic
-# devices manufactured by Altera and sold by Altera or its
-# authorized distributors. Please refer to the applicable
-# agreement for further details.
-#
-# -------------------------------------------------------------------------- #
-#
-# Quartus Prime
-# Version 15.1.0 Build 185 10/21/2015 SJ Lite Edition
-# Date created = 19:53:22 May 05, 2016
-#
-# -------------------------------------------------------------------------- #
-#
-# Notes:
-#
-# 1) The default values for assignments are stored in the file:
-# CoolGirl_assignment_defaults.qdf
-# If this file doesn't exist, see file:
-# assignment_defaults.qdf
-#
-# 2) Altera recommends that you do not modify this file. This
-# file is updated automatically by the Quartus Prime software
-# and any changes you make may be lost or overwritten.
-#
-# -------------------------------------------------------------------------- #
-
-
-set_global_assignment -name FAMILY "MAX II"
-set_global_assignment -name DEVICE EPM1270T144C5
-set_global_assignment -name TOP_LEVEL_ENTITY CoolGirl
-set_global_assignment -name ORIGINAL_QUARTUS_VERSION 15.1.0
-set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:53:22 MAY 05, 2016"
-set_global_assignment -name LAST_QUARTUS_VERSION 15.1.0
-set_global_assignment -name VERILOG_FILE CoolGirl.v
-set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
-set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
-set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
-set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP
-set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144
-set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1"
-set_global_assignment -name POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR 3.3V
-set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)"
-set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
-set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
-set_global_assignment -name AUTO_RESTART_CONFIGURATION OFF
-set_global_assignment -name ENABLE_DEVICE_WIDE_RESET ON
-set_global_assignment -name ENABLE_OCT_DONE OFF
-set_global_assignment -name ENABLE_CONFIGURATION_PINS OFF
-set_global_assignment -name ENABLE_BOOT_SEL_PIN OFF
-set_global_assignment -name USE_CONFIGURATION_DEVICE ON
-set_location_assignment PIN_1 -to cpu_addr_out[18]
-set_location_assignment PIN_2 -to cpu_addr_out[25]
-set_location_assignment PIN_3 -to cpu_addr_out[19]
-set_location_assignment PIN_4 -to cpu_addr_out[26]
-set_location_assignment PIN_5 -to cpu_addr_out[22]
-set_location_assignment PIN_6 -to cpu_addr_out[17]
-set_location_assignment PIN_7 -to flash_we
-set_location_assignment PIN_8 -to cpu_addr_out[21]
-set_location_assignment PIN_11 -to cpu_addr_out[20]
-set_location_assignment PIN_12 -to cpu_addr_out[13]
-set_location_assignment PIN_14 -to cpu_addr_out[15]
-set_location_assignment PIN_13 -to cpu_addr_out[14]
-set_location_assignment PIN_15 -to cpu_addr_out[16]
-set_location_assignment PIN_16 -to cpu_addr_out[23]
-set_location_assignment PIN_18 -to cpu_addr_out[24]
-set_location_assignment PIN_42 -to ppu_addr_out[16]
-set_location_assignment PIN_43 -to ppu_rd_out
-set_location_assignment PIN_44 -to ppu_addr_out[10]
-set_location_assignment PIN_45 -to ppu_addr_out[11]
-set_location_assignment PIN_48 -to ppu_addr_out[12]
-set_location_assignment PIN_89 -to ppu_addr_in[12]
-set_location_assignment PIN_72 -to ppu_addr_in[13]
-set_location_assignment PIN_50 -to ppu_wr_out
-set_location_assignment PIN_51 -to ppu_addr_out[14]
-set_location_assignment PIN_52 -to ppu_addr_out[15]
-set_location_assignment PIN_53 -to ppu_addr_in[6]
-set_location_assignment PIN_55 -to ppu_addr_in[7]
-set_location_assignment PIN_57 -to ppu_addr_in[5]
-set_location_assignment PIN_58 -to ppu_addr_in[8]
-set_location_assignment PIN_59 -to ppu_addr_in[4]
-set_location_assignment PIN_63 -to ppu_addr_in[3]
-set_location_assignment PIN_68 -to ppu_addr_in[2]
-set_location_assignment PIN_69 -to ppu_addr_in[1]
-set_location_assignment PIN_70 -to ppu_addr_in[0]
-set_location_assignment PIN_71 -to ppu_addr_out[17]
-set_location_assignment PIN_73 -to ppu_addr_in[10]
-set_location_assignment PIN_74 -to ppu_addr_in[11]
-set_location_assignment PIN_81 -to ppu_not_a13
-set_location_assignment PIN_94 -to ppu_ciram_ce
-set_location_assignment PIN_95 -to ppu_ciram_a10
-set_location_assignment PIN_96 -to ppu_rd_in
-set_location_assignment PIN_101 -to ppu_wr_in
-set_location_assignment PIN_102 -to irq
-set_location_assignment PIN_103 -to cpu_rw_in
-set_location_assignment PIN_106 -to romsel
-set_location_assignment PIN_107 -to sram_we
-set_location_assignment PIN_108 -to sram_ce
-set_location_assignment PIN_110 -to cpu_addr_in[14]
-set_location_assignment PIN_111 -to cpu_addr_in[13]
-set_location_assignment PIN_112 -to flash_ce
-set_location_assignment PIN_114 -to flash_oe
-set_location_assignment PIN_117 -to cpu_addr_in[1]
-set_location_assignment PIN_118 -to cpu_addr_in[0]
-set_location_assignment PIN_119 -to cpu_data_in[0]
-set_location_assignment PIN_120 -to cpu_addr_in[3]
-set_location_assignment PIN_121 -to cpu_data_in[1]
-set_location_assignment PIN_122 -to cpu_addr_in[2]
-set_location_assignment PIN_123 -to cpu_data_in[2]
-set_location_assignment PIN_124 -to cpu_addr_in[4]
-set_location_assignment PIN_125 -to cpu_data_in[3]
-set_location_assignment PIN_127 -to cpu_data_in[4]
-set_location_assignment PIN_129 -to cpu_data_in[5]
-set_location_assignment PIN_131 -to cpu_addr_in[5]
-set_location_assignment PIN_132 -to cpu_data_in[6]
-set_location_assignment PIN_133 -to cpu_addr_in[6]
-set_location_assignment PIN_134 -to cpu_data_in[7]
-set_location_assignment PIN_137 -to cpu_addr_in[7]
-set_location_assignment PIN_138 -to cpu_addr_in[8]
-set_location_assignment PIN_139 -to cpu_addr_in[9]
-set_location_assignment PIN_140 -to cpu_addr_in[10]
-set_location_assignment PIN_141 -to cpu_addr_in[11]
-set_location_assignment PIN_142 -to cpu_addr_in[12]
-set_location_assignment PIN_143 -to sram_addr_out[13]
-set_location_assignment PIN_144 -to sram_addr_out[14]
-set_location_assignment PIN_60 -to ppu_addr_in[9]
-set_location_assignment PIN_91 -to m2
-set_location_assignment PIN_49 -to ppu_addr_out[13]
-set_location_assignment PIN_130 -to sram_oe
-set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to m2
-set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to ppu_rd_in
-set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to ppu_wr_in
-set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to irq
-set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to cpu_rw_in
-set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to romsel
-set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to sram_we
-set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to sram_ce
-set_global_assignment -name CDF_FILE CoolGirl.cdf
-set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to ppu_ciram_ce
-set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR"
-set_global_assignment -name OPTIMIZATION_MODE BALANCED
-set_location_assignment PIN_93 -to ppu_not_a13_out
+# -------------------------------------------------------------------------- #
+#
+# Copyright (C) 1991-2015 Altera Corporation. All rights reserved.
+# Your use of Altera Corporation's design tools, logic functions
+# and other software and tools, and its AMPP partner logic
+# functions, and any output files from any of the foregoing
+# (including device programming or simulation files), and any
+# associated documentation or information are expressly subject
+# to the terms and conditions of the Altera Program License
+# Subscription Agreement, the Altera Quartus Prime License Agreement,
+# the Altera MegaCore Function License Agreement, or other
+# applicable license agreement, including, without limitation,
+# that your use is for the sole purpose of programming logic
+# devices manufactured by Altera and sold by Altera or its
+# authorized distributors. Please refer to the applicable
+# agreement for further details.
+#
+# -------------------------------------------------------------------------- #
+#
+# Quartus Prime
+# Version 15.1.0 Build 185 10/21/2015 SJ Lite Edition
+# Date created = 19:53:22 May 05, 2016
+#
+# -------------------------------------------------------------------------- #
+#
+# Notes:
+#
+# 1) The default values for assignments are stored in the file:
+# CoolGirl_assignment_defaults.qdf
+# If this file doesn't exist, see file:
+# assignment_defaults.qdf
+#
+# 2) Altera recommends that you do not modify this file. This
+# file is updated automatically by the Quartus Prime software
+# and any changes you make may be lost or overwritten.
+#
+# -------------------------------------------------------------------------- #
+
+
+set_global_assignment -name FAMILY "MAX II"
+set_global_assignment -name DEVICE EPM1270T144C5
+set_global_assignment -name TOP_LEVEL_ENTITY CoolGirl
+set_global_assignment -name ORIGINAL_QUARTUS_VERSION 15.1.0
+set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:53:22 MAY 05, 2016"
+set_global_assignment -name LAST_QUARTUS_VERSION "17.0.0 Lite Edition"
+set_global_assignment -name VERILOG_FILE CoolGirl.v
+set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
+set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
+set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
+set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP
+set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144
+set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1"
+set_global_assignment -name POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR 3.3V
+set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)"
+set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
+set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
+set_global_assignment -name AUTO_RESTART_CONFIGURATION OFF
+set_global_assignment -name ENABLE_DEVICE_WIDE_RESET ON
+set_global_assignment -name ENABLE_OCT_DONE OFF
+set_global_assignment -name ENABLE_CONFIGURATION_PINS OFF
+set_global_assignment -name ENABLE_BOOT_SEL_PIN OFF
+set_global_assignment -name USE_CONFIGURATION_DEVICE ON
+set_location_assignment PIN_1 -to cpu_addr_out[18]
+set_location_assignment PIN_2 -to cpu_addr_out[25]
+set_location_assignment PIN_3 -to cpu_addr_out[19]
+set_location_assignment PIN_4 -to cpu_addr_out[26]
+set_location_assignment PIN_5 -to cpu_addr_out[22]
+set_location_assignment PIN_6 -to cpu_addr_out[17]
+set_location_assignment PIN_7 -to flash_we
+set_location_assignment PIN_8 -to cpu_addr_out[21]
+set_location_assignment PIN_11 -to cpu_addr_out[20]
+set_location_assignment PIN_12 -to cpu_addr_out[13]
+set_location_assignment PIN_14 -to cpu_addr_out[15]
+set_location_assignment PIN_13 -to cpu_addr_out[14]
+set_location_assignment PIN_15 -to cpu_addr_out[16]
+set_location_assignment PIN_16 -to cpu_addr_out[23]
+set_location_assignment PIN_18 -to cpu_addr_out[24]
+set_location_assignment PIN_42 -to ppu_addr_out[16]
+set_location_assignment PIN_43 -to ppu_rd_out
+set_location_assignment PIN_44 -to ppu_addr_out[10]
+set_location_assignment PIN_45 -to ppu_addr_out[11]
+set_location_assignment PIN_48 -to ppu_addr_out[12]
+set_location_assignment PIN_89 -to ppu_addr_in[12]
+set_location_assignment PIN_72 -to ppu_addr_in[13]
+set_location_assignment PIN_50 -to ppu_wr_out
+set_location_assignment PIN_51 -to ppu_addr_out[14]
+set_location_assignment PIN_52 -to ppu_addr_out[15]
+set_location_assignment PIN_53 -to ppu_addr_in[6]
+set_location_assignment PIN_55 -to ppu_addr_in[7]
+set_location_assignment PIN_57 -to ppu_addr_in[5]
+set_location_assignment PIN_58 -to ppu_addr_in[8]
+set_location_assignment PIN_59 -to ppu_addr_in[4]
+set_location_assignment PIN_63 -to ppu_addr_in[3]
+set_location_assignment PIN_68 -to ppu_addr_in[2]
+set_location_assignment PIN_69 -to ppu_addr_in[1]
+set_location_assignment PIN_70 -to ppu_addr_in[0]
+set_location_assignment PIN_71 -to ppu_addr_out[17]
+set_location_assignment PIN_73 -to ppu_addr_in[10]
+set_location_assignment PIN_74 -to ppu_addr_in[11]
+set_location_assignment PIN_81 -to ppu_not_a13
+set_location_assignment PIN_94 -to ppu_ciram_ce
+set_location_assignment PIN_95 -to ppu_ciram_a10
+set_location_assignment PIN_96 -to ppu_rd_in
+set_location_assignment PIN_101 -to ppu_wr_in
+set_location_assignment PIN_102 -to irq
+set_location_assignment PIN_103 -to cpu_rw_in
+set_location_assignment PIN_106 -to romsel
+set_location_assignment PIN_107 -to sram_we
+set_location_assignment PIN_108 -to sram_ce
+set_location_assignment PIN_110 -to cpu_addr_in[14]
+set_location_assignment PIN_111 -to cpu_addr_in[13]
+set_location_assignment PIN_112 -to flash_ce
+set_location_assignment PIN_114 -to flash_oe
+set_location_assignment PIN_117 -to cpu_addr_in[1]
+set_location_assignment PIN_118 -to cpu_addr_in[0]
+set_location_assignment PIN_119 -to cpu_data_in[0]
+set_location_assignment PIN_120 -to cpu_addr_in[3]
+set_location_assignment PIN_121 -to cpu_data_in[1]
+set_location_assignment PIN_122 -to cpu_addr_in[2]
+set_location_assignment PIN_123 -to cpu_data_in[2]
+set_location_assignment PIN_124 -to cpu_addr_in[4]
+set_location_assignment PIN_125 -to cpu_data_in[3]
+set_location_assignment PIN_127 -to cpu_data_in[4]
+set_location_assignment PIN_129 -to cpu_data_in[5]
+set_location_assignment PIN_131 -to cpu_addr_in[5]
+set_location_assignment PIN_132 -to cpu_data_in[6]
+set_location_assignment PIN_133 -to cpu_addr_in[6]
+set_location_assignment PIN_134 -to cpu_data_in[7]
+set_location_assignment PIN_137 -to cpu_addr_in[7]
+set_location_assignment PIN_138 -to cpu_addr_in[8]
+set_location_assignment PIN_139 -to cpu_addr_in[9]
+set_location_assignment PIN_140 -to cpu_addr_in[10]
+set_location_assignment PIN_141 -to cpu_addr_in[11]
+set_location_assignment PIN_142 -to cpu_addr_in[12]
+set_location_assignment PIN_143 -to sram_addr_out[13]
+set_location_assignment PIN_144 -to sram_addr_out[14]
+set_location_assignment PIN_60 -to ppu_addr_in[9]
+set_location_assignment PIN_91 -to m2
+set_location_assignment PIN_49 -to ppu_addr_out[13]
+set_location_assignment PIN_130 -to sram_oe
+set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to m2
+set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to ppu_rd_in
+set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to ppu_wr_in
+set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to irq
+set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to cpu_rw_in
+set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to romsel
+set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to sram_we
+set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to sram_ce
+set_global_assignment -name CDF_FILE CoolGirl.cdf
+set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to ppu_ciram_ce
+set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR"
+set_global_assignment -name OPTIMIZATION_MODE BALANCED
+set_location_assignment PIN_93 -to ppu_not_a13_out
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to ppu_not_a13_out \ No newline at end of file
diff --git a/CoolGirl.v b/CoolGirl.v
index 7517ec5..99bc907 100644
--- a/CoolGirl.v
+++ b/CoolGirl.v
@@ -1,114 +1,116 @@
-module CoolGirl # (
- parameter USE_MAPPER_005 = 1, // MMC5 - Castlevania 3 only
- parameter USE_MAPPER_009_010 = 1, // mapper #009 - MMC2, #10 - MMC4
- parameter USE_MAPPER_011 = 1, // mapper #011 - Color Dreams
- parameter USE_MAPPER_018 = 0, // mapper #018
- parameter USE_MAPPER_021_022_023_025 = 1, // mappers #021, #022, #023, #025 - VRC2, VRC4
- parameter USE_MAPPER_022 = 1, // mapper #022 - VRC2a (shifted CHR lines)
- parameter USE_VRC4_INTERRUPTS = 1, // for VRC4
- parameter USE_MAPPER_032 = 1, // mapper #032 - IREM G101
- parameter USE_MAPPER_033_048 = 1, // mappers #033 & #048 - Taito
- parameter USE_MAPPER_048_INTERRUPTS = 1, // mapper #048 - Taito
- parameter USE_MAPPER_065 = 1, // mapper #065 - Irem's H3001
- parameter USE_MAPPER_066 = 1, // mapper #066 - GxROM
- parameter USE_MAPPER_069 = 1, // mapper #069 - Sunsoft
- parameter USE_MAPPER_071 = 1, // mapper #071 (for Fire Hawk only)
- parameter USE_MAPPER_073 = 0, // mapper #073 - VRC3
- parameter USE_MAPPER_078 = 1, // mapper #078 - Holy Diver
- parameter USE_MAPPER_087 = 1, // mapper #087
- parameter USE_MAPPER_090 = 1, // mapper #090 - JY, for Aladdin only
- parameter USE_MAPPER_093 = 1, // mapper #093
- parameter USE_MAPPER_097 = 1, // mapper #097 - IREM TAMS1
- parameter USE_MAPPER_112 = 0, // mapper #112
- parameter USE_MAPPER_118 = 1, // mapper #118 - TxSROM
- parameter USE_MAPPER_152 = 1, // mapper #152
- parameter USE_MAPPER_163 = 0, // mapper #163
- parameter USE_MAPPER_189 = 1, // mapper #189
- parameter USE_MAPPER_228 = 1, // mapper #228 - Cheetahmen II only
- parameter USE_MAPPER_241 = 1, // mapper #241 - BNROM
-
- parameter USE_FOUR_SCREEN = 1
- )
- (
- input m2,
- input romsel,
- input cpu_rw_in,
- input [14:0] cpu_addr_in,
- inout [7:0] cpu_data_in,
- output [26:13] cpu_addr_out,
- output [14:13] sram_addr_out,
- output flash_we,
- output flash_oe,
- output flash_ce,
- output sram_ce,
- output sram_we,
- output sram_oe,
-
- input ppu_rd_in,
- input ppu_wr_in,
- input [13:0] ppu_addr_in,
- output [17:10] ppu_addr_out,
- output ppu_rd_out,
- output ppu_wr_out,
- output ppu_ciram_a10,
- input ppu_not_a13,
- output ppu_ciram_ce,
- output ppu_not_a13_out,
-
- output irq
-);
- reg [3:0] new_dendy_init = 4'b1111;
- reg [1:0] new_dendy_init_a13l = 2'b11;
- reg [1:0] new_dendy_init_a13h = 2'b11;
- wire new_dendy_init_finished = new_dendy_init == 0;
- reg new_dendy = 0;
-
- assign cpu_addr_out[26:13] = {cpu_base[26:14] | (cpu_addr_mapped[20:14] & ~prg_mask[20:14]), cpu_addr_mapped[13]};
- assign sram_addr_out[14:13] = sram_page[1:0];
- assign ppu_addr_out[17:10] = ext_ntram_access ? {6'b111111, ppu_addr_in[11:10]} : {ppu_addr_mapped[17:13] & ~chr_mask[17:13], ppu_addr_mapped[12:10]};
-
- assign cpu_data_in = cpu_data_out_enabled ? cpu_data_out : 8'bZZZZZZZZ;
- wire flash_ce_w = ~(~romsel | (m2 & map_rom_on_6000 & cpu_addr_in[14] & cpu_addr_in[13]));
- assign flash_ce = flash_ce_w
- | cpu_data_out_enabled;
- assign flash_oe = (~cpu_rw_in | flash_ce_w)
- & ~cpu_data_out_enabled; // to switch data direction
- assign flash_we = cpu_rw_in | flash_ce_w | ~prg_write_enabled;
- wire sram_ce_w = ~(cpu_addr_in[14] & cpu_addr_in[13] & m2 & romsel & sram_enabled & ~map_rom_on_6000);
- assign sram_ce = sram_ce_w;
- assign sram_we = cpu_rw_in | sram_ce_w;
- assign sram_oe = ~cpu_rw_in | sram_ce_w | cpu_data_out_enabled;
- assign ppu_rd_out = ppu_rd_in | (ppu_addr_in[13] & ~ext_ntram_access);
- assign ppu_wr_out = ppu_wr_in | ((ppu_addr_in[13] | ~chr_write_enabled) & ~ext_ntram_access);
- wire ext_ntram_access = USE_FOUR_SCREEN && four_screen && ppu_addr_in[13] && ~ppu_addr_in[12]; // four-screen and $2000-$2FFF accessed
- assign ppu_ciram_ce = new_dendy_init_finished ?
- (new_dendy ? 1'bZ : // not used by new famiclones
- ext_ntram_access ? 1'b1 : // disable internal NTRAM
- ~ppu_addr_in[13] /*1'bZ*/) // enable it otherwise
- : 1'b0; // ground it while powering on for new famiclones
- assign ppu_not_a13_out = new_dendy_init_finished ? 1'bZ : 1'b0; // ground it while powering on for new famiclones
-
- always @ (posedge m2)
- begin
- if (!new_dendy_init_finished)
- new_dendy_init = new_dendy_init - 1'b1;
- end
-
- always @ (negedge ppu_rd_in)
- begin
- if (new_dendy_init_finished)
- begin
- if ((new_dendy_init_a13l != 0) &&
- (new_dendy_init_a13h != 0) &&
- (ppu_addr_in[13] != ~ppu_not_a13))
- new_dendy = 1;
- if (~ppu_addr_in[13] && new_dendy_init_a13l != 0) new_dendy_init_a13l = new_dendy_init_a13l - 1'b1;
- if (ppu_addr_in[13] && new_dendy_init_a13h != 0) new_dendy_init_a13h = new_dendy_init_a13h - 1'b1;
- end
- end
-
-`include "mappers.vh"
-
-endmodule
-
-
+module CoolGirl # (
+ parameter USE_MAPPER_005 = 1, // MMC5 - Castlevania 3 only
+ parameter USE_MAPPER_009_010 = 1, // mapper #009 - MMC2, #10 - MMC4
+ parameter USE_MAPPER_011 = 1, // mapper #011 - Color Dreams
+ parameter USE_MAPPER_018 = 0, // mapper #018
+ parameter USE_MAPPER_021_022_023_025 = 1, // mappers #021, #022, #023, #025 - VRC2, VRC4
+ parameter USE_MAPPER_022 = 1, // mapper #022 - VRC2a (shifted CHR lines)
+ parameter USE_VRC4_INTERRUPTS = 1, // for VRC4
+ parameter USE_MAPPER_032 = 1, // mapper #032 - IREM G101
+ parameter USE_MAPPER_033_048 = 1, // mappers #033 & #048 - Taito
+ parameter USE_MAPPER_048_INTERRUPTS = 1, // mapper #048 - Taito
+ parameter USE_MAPPER_042 = 1, // mapper #042
+ parameter USE_MAPPER_042_INTERRUPTS = 1, // mapper #042 (for Mario Baby only)
+ parameter USE_MAPPER_065 = 1, // mapper #065 - Irem's H3001
+ parameter USE_MAPPER_066 = 1, // mapper #066 - GxROM
+ parameter USE_MAPPER_069 = 1, // mapper #069 - Sunsoft
+ parameter USE_MAPPER_071 = 1, // mapper #071 (for Fire Hawk only)
+ parameter USE_MAPPER_073 = 0, // mapper #073 - VRC3
+ parameter USE_MAPPER_078 = 1, // mapper #078 - Holy Diver
+ parameter USE_MAPPER_087 = 1, // mapper #087
+ parameter USE_MAPPER_090 = 1, // mapper #090 - JY, for Aladdin only
+ parameter USE_MAPPER_093 = 1, // mapper #093
+ parameter USE_MAPPER_097 = 1, // mapper #097 - IREM TAMS1
+ parameter USE_MAPPER_112 = 0, // mapper #112
+ parameter USE_MAPPER_118 = 1, // mapper #118 - TxSROM
+ parameter USE_MAPPER_152 = 1, // mapper #152
+ parameter USE_MAPPER_163 = 1, // mapper #163
+ parameter USE_MAPPER_189 = 1, // mapper #189
+ parameter USE_MAPPER_228 = 1, // mapper #228 - Cheetahmen II only
+ parameter USE_MAPPER_241 = 1, // mapper #241 - BNROM
+
+ parameter USE_FOUR_SCREEN = 1
+ )
+ (
+ input m2,
+ input romsel,
+ input cpu_rw_in,
+ input [14:0] cpu_addr_in,
+ inout [7:0] cpu_data_in,
+ output [26:13] cpu_addr_out,
+ output [14:13] sram_addr_out,
+ output flash_we,
+ output flash_oe,
+ output flash_ce,
+ output sram_ce,
+ output sram_we,
+ output sram_oe,
+
+ input ppu_rd_in,
+ input ppu_wr_in,
+ input [13:0] ppu_addr_in,
+ output [17:10] ppu_addr_out,
+ output ppu_rd_out,
+ output ppu_wr_out,
+ output ppu_ciram_a10,
+ input ppu_not_a13,
+ output ppu_ciram_ce,
+ output ppu_not_a13_out,
+
+ output irq
+);
+ reg [3:0] new_dendy_init = 4'b1111;
+ reg [1:0] new_dendy_init_a13l = 2'b11;
+ reg [1:0] new_dendy_init_a13h = 2'b11;
+ wire new_dendy_init_finished = new_dendy_init == 0;
+ reg new_dendy = 0;
+
+ assign cpu_addr_out[26:13] = {cpu_base[26:14] | (cpu_addr_mapped[20:14] & ~prg_mask[20:14]), cpu_addr_mapped[13]};
+ assign sram_addr_out[14:13] = sram_page[1:0];
+ assign ppu_addr_out[17:10] = ext_ntram_access ? {6'b111111, ppu_addr_in[11:10]} : {ppu_addr_mapped[17:13] & ~chr_mask[17:13], ppu_addr_mapped[12:10]};
+
+ assign cpu_data_in = cpu_data_out_enabled ? cpu_data_out : 8'bZZZZZZZZ;
+ wire flash_ce_w = ~(~romsel | (m2 & map_rom_on_6000 & cpu_addr_in[14] & cpu_addr_in[13]));
+ assign flash_ce = flash_ce_w
+ | cpu_data_out_enabled;
+ assign flash_oe = (~cpu_rw_in | flash_ce_w)
+ & ~cpu_data_out_enabled; // to switch data direction
+ assign flash_we = cpu_rw_in | flash_ce_w | ~prg_write_enabled;
+ wire sram_ce_w = ~(cpu_addr_in[14] & cpu_addr_in[13] & m2 & romsel & sram_enabled & ~map_rom_on_6000);
+ assign sram_ce = sram_ce_w;
+ assign sram_we = cpu_rw_in | sram_ce_w;
+ assign sram_oe = ~cpu_rw_in | sram_ce_w | cpu_data_out_enabled;
+ assign ppu_rd_out = ppu_rd_in | (ppu_addr_in[13] & ~ext_ntram_access);
+ assign ppu_wr_out = ppu_wr_in | ((ppu_addr_in[13] | ~chr_write_enabled) & ~ext_ntram_access);
+ wire ext_ntram_access = USE_FOUR_SCREEN && four_screen && ppu_addr_in[13] && ~ppu_addr_in[12]; // four-screen and $2000-$2FFF accessed
+ assign ppu_ciram_ce = new_dendy_init_finished ?
+ (new_dendy ? 1'bZ : // not used by new famiclones
+ ext_ntram_access ? 1'b1 : // disable internal NTRAM
+ ~ppu_addr_in[13] /*1'bZ*/) // enable it otherwise
+ : 1'b0; // ground it while powering on for new famiclones
+ assign ppu_not_a13_out = new_dendy_init_finished ? 1'bZ : 1'b0; // ground it while powering on for new famiclones
+
+ always @ (posedge m2)
+ begin
+ if (!new_dendy_init_finished)
+ new_dendy_init = new_dendy_init - 1'b1;
+ end
+
+ always @ (negedge ppu_rd_in)
+ begin
+ if (new_dendy_init_finished)
+ begin
+ if ((new_dendy_init_a13l != 0) &&
+ (new_dendy_init_a13h != 0) &&
+ (ppu_addr_in[13] != ~ppu_not_a13))
+ new_dendy = 1;
+ if (~ppu_addr_in[13] && new_dendy_init_a13l != 0) new_dendy_init_a13l = new_dendy_init_a13l - 1'b1;
+ if (ppu_addr_in[13] && new_dendy_init_a13h != 0) new_dendy_init_a13h = new_dendy_init_a13h - 1'b1;
+ end
+ end
+
+`include "mappers.vh"
+
+endmodule
+
+
diff --git a/board/BoardOutline.gbr b/board/BoardOutline.gbr
index 08dbdfa..5993f66 100644
--- a/board/BoardOutline.gbr
+++ b/board/BoardOutline.gbr
@@ -1,37 +1,37 @@
-G04 DipTrace 2.4.0.2*
-%INBoardOutline.gbr*%
-%MOIN*%
-%ADD11C,0.0055*%
-%FSLAX44Y44*%
-G04*
-G70*
-G90*
-G75*
-G01*
-%LNBoardOutline*%
-%LPD*%
-X8312Y8250D2*
-D11*
-Y3937D1*
-X39062D1*
-Y8250D1*
-X43437D1*
-Y10750D1*
-X41875D1*
-Y11687D1*
-X43437D1*
-X43441Y13626D1*
-X34628D1*
-X33128Y15126D1*
-Y16938D1*
-X30752Y19314D1*
-Y21126D1*
-X10438D1*
-X3937Y14626D1*
-Y11687D1*
-X5500D1*
-Y10750D1*
-X3937D1*
-Y8250D1*
-X8312D1*
-M02*
+G04 DipTrace 2.4.0.2*
+%INBoardOutline.gbr*%
+%MOIN*%
+%ADD11C,0.0055*%
+%FSLAX44Y44*%
+G04*
+G70*
+G90*
+G75*
+G01*
+%LNBoardOutline*%
+%LPD*%
+X8312Y8250D2*
+D11*
+Y3937D1*
+X39062D1*
+Y8250D1*
+X43437D1*
+Y10750D1*
+X41875D1*
+Y11687D1*
+X43437D1*
+X43441Y13626D1*
+X34628D1*
+X33128Y15126D1*
+Y16938D1*
+X30752Y19314D1*
+Y21126D1*
+X10438D1*
+X3937Y14626D1*
+Y11687D1*
+X5500D1*
+Y10750D1*
+X3937D1*
+Y8250D1*
+X8312D1*
+M02*
diff --git a/board/Bottom.gbr b/board/Bottom.gbr
index 20b12b1..7e6f83e 100644
--- a/board/Bottom.gbr
+++ b/board/Bottom.gbr
@@ -1,3123 +1,3123 @@
-G04 DipTrace 2.4.0.2*
-%INBottom.gbr*%
-%MOIN*%
-%ADD13C,0.0059*%
-%ADD14C,0.0157*%
-%ADD15C,0.0118*%
-%ADD16C,0.0394*%
-%ADD17C,0.025*%
-%ADD19R,0.0748X0.0748*%
-%ADD20C,0.0669*%
-%ADD21R,0.0787X0.1575*%
-%ADD22R,0.0354X0.0276*%
-%ADD23R,0.063X0.063*%
-%ADD24C,0.063*%
-%ADD25R,0.0276X0.0354*%
-%ADD26R,0.063X0.0709*%
-%ADD27R,0.0709X0.2559*%
-%ADD28R,0.0118X0.063*%
-%ADD29R,0.063X0.0118*%
-%ADD34R,0.0236X0.1181*%
-%ADD37C,0.0276*%
-%FSLAX44Y44*%
-G04*
-G70*
-G90*
-G75*
-G01*
-%LNBottom*%
-%LPD*%
-X22083Y11076D2*
-D13*
-Y10357D1*
-X22249Y10190D1*
-Y9750D1*
-X22186Y5399D2*
-Y8685D1*
-X22249Y8748D1*
-Y9238D1*
-X14438Y10313D2*
-D14*
-Y10063D1*
-X12313D1*
-Y10313D1*
-X29877Y10125D2*
-Y9875D1*
-X27752D1*
-Y10125D1*
-X30315Y18126D2*
-X32065Y16376D1*
-Y9875D1*
-X31690D1*
-X29877D1*
-X8062D2*
-Y9938D1*
-X8187Y10063D1*
-X12313D1*
-X14438D2*
-X16063D1*
-Y10313D1*
-X18501Y12563D2*
-X18751D1*
-Y12688D1*
-X16688Y13688D2*
-X17313D1*
-X18439Y12563D1*
-X18501D1*
-X18439D2*
-X19564Y11438D1*
-X16063Y10063D2*
-X17438D1*
-Y10250D1*
-Y10313D1*
-X25232Y11076D2*
-D15*
-Y11978D1*
-D14*
-X26807D1*
-X28234D1*
-X28502Y12247D1*
-Y13320D1*
-X28600Y13418D1*
-D15*
-X29346D1*
-X26807Y11076D2*
-Y11978D1*
-X23264Y11076D2*
-Y11801D1*
-D14*
-X23252Y11813D1*
-Y12563D1*
-X24648D1*
-X25232Y11978D1*
-X20921Y13222D2*
-D15*
-X22405D1*
-D14*
-X23064Y12563D1*
-X23252D1*
-X20921Y15190D2*
-D15*
-X22376D1*
-D14*
-Y14751D1*
-Y13205D1*
-X23064Y12563D1*
-X20921Y17159D2*
-D15*
-X22376D1*
-D14*
-Y15190D1*
-X22625D1*
-X22626Y15188D1*
-X25232Y19501D2*
-D15*
-Y18958D1*
-D14*
-X25502Y18689D1*
-Y18564D1*
-Y17159D1*
-X22376D1*
-X26413Y19501D2*
-D15*
-Y17159D1*
-D14*
-X25502D1*
-X29346Y14993D2*
-D15*
-X28307D1*
-D14*
-X28252Y14938D1*
-X27752D1*
-X27564Y14751D1*
-X22376D1*
-X25689Y18376D2*
-X25502Y18564D1*
-X23189Y20064D2*
-D15*
-X23264D1*
-Y19501D1*
-X32002Y10125D2*
-D14*
-X32065Y9875D1*
-X31690Y10375D2*
-Y9875D1*
-X29346Y16962D2*
-D15*
-X28604D1*
-X28377Y17188D1*
-X27752D1*
-D14*
-X27564Y17001D1*
-X26552D1*
-X26413Y17159D1*
-X14438Y9688D2*
-X12313D1*
-X9186Y5399D2*
-Y9688D1*
-Y9000D1*
-X7000D1*
-X6437Y9563D1*
-Y14813D1*
-X6750Y15126D1*
-X27752Y9500D2*
-X29877D1*
-X30315Y18564D2*
-X32377Y16501D1*
-Y9500D1*
-X36315D1*
-X38128Y7687D1*
-Y7437D1*
-X38187D1*
-X12313Y9688D2*
-X9186D1*
-X8688Y16313D2*
-X7648D1*
-Y15626D1*
-X29877Y9500D2*
-X31690D1*
-X32377D1*
-X17438D2*
-Y9688D1*
-X12313D1*
-X31690Y9500D2*
-Y9437D1*
-X14438Y9688D2*
-X17688D1*
-X17751Y9625D1*
-X32002Y9500D2*
-X32377D1*
-X23186Y5399D2*
-D16*
-X24186D1*
-X8750Y13438D2*
-D14*
-Y12938D1*
-Y14563D2*
-Y15626D1*
-Y14563D2*
-Y13438D1*
-Y12938D2*
-Y12188D1*
-X10250Y11737D2*
-X8825D1*
-X8750Y11813D1*
-Y12188D1*
-X8312Y17876D2*
-X7062Y16626D1*
-Y14563D1*
-X7648D1*
-X30315Y19001D2*
-X32690Y16626D1*
-Y14938D1*
-X34690Y12938D1*
-X35378D1*
-Y11500D1*
-X39323D1*
-X39816D1*
-X41378Y13063D1*
-X35393Y12543D2*
-Y11500D1*
-X39323Y12543D2*
-Y11500D1*
-X24752Y9238D2*
-D13*
-Y8938D1*
-X26189Y7500D1*
-Y5402D1*
-X26186Y5399D1*
-X24445Y11076D2*
-Y10370D1*
-X24752Y10063D1*
-Y9750D1*
-X25877Y7375D2*
-X24439Y8813D1*
-Y9938D1*
-X24252Y10125D1*
-Y11072D1*
-X24248Y11076D1*
-X14250Y15438D2*
-Y14564D1*
-X14313Y14501D1*
-X14250Y15438D2*
-Y16125D1*
-X14563Y16438D1*
-X17001D1*
-X17376Y16063D1*
-Y14626D1*
-X18189Y13813D1*
-X18814D1*
-X19007Y13620D1*
-X20917D1*
-X20921Y13615D1*
-X11875Y12688D2*
-Y12813D1*
-X11500Y13188D1*
-Y16251D1*
-X12063Y16813D1*
-Y17001D1*
-X11750Y11737D2*
-Y12563D1*
-X11875Y12688D1*
-X12063Y17001D2*
-X13008Y17946D1*
-X20921D1*
-X11500Y12813D2*
-X11250Y13063D1*
-Y14624D1*
-Y16313D1*
-X11688Y16751D1*
-Y17001D1*
-X11250Y15438D2*
-Y14624D1*
-X11688Y17001D2*
-X12830Y18143D1*
-X20921D1*
-X11313Y16876D2*
-X11000Y16563D1*
-Y12813D1*
-X11125Y12688D1*
-X11250Y11737D2*
-Y12563D1*
-X11125Y12688D1*
-X11313Y16876D2*
-Y17001D1*
-X12652Y18340D1*
-X20921D1*
-X11186Y5399D2*
-X11188Y7937D1*
-X14751Y14501D2*
-Y15438D1*
-X14750D1*
-Y16125D1*
-X14938Y16313D1*
-X16938D1*
-X17226Y16026D1*
-Y14588D1*
-X18126Y13688D1*
-X18751D1*
-X18939Y13501D1*
-X20064D1*
-X20146Y13418D1*
-X20921D1*
-X12186Y5399D2*
-Y6686D1*
-X13000Y7500D1*
-X14438D1*
-X15001Y8062D1*
-X19689Y12313D2*
-X20126D1*
-X20202Y12237D1*
-X20921D1*
-X23854Y19501D2*
-Y18023D1*
-X23877Y18001D1*
-X13186Y5399D2*
-Y6686D1*
-X13813Y7312D1*
-X14626D1*
-X15376Y8062D1*
-X20001Y12063D2*
-Y12041D1*
-X20921D1*
-X24051Y19501D2*
-Y18389D1*
-X24127Y18314D1*
-X24248Y19501D2*
-Y18567D1*
-X24439Y18376D1*
-Y18001D1*
-X16376Y7437D2*
-Y7500D1*
-X15938Y7937D1*
-X24445Y19501D2*
-Y18620D1*
-X24752Y18314D1*
-X24689D1*
-X22673Y19501D2*
-Y18642D1*
-X22876Y18439D1*
-Y18001D1*
-X21689Y19501D2*
-Y18376D1*
-X21626Y18314D1*
-X22083Y19501D2*
-Y18357D1*
-X22126Y18314D1*
-X23657Y19501D2*
-Y18344D1*
-X23627Y18314D1*
-X23067Y19501D2*
-Y18748D1*
-X23377Y18439D1*
-Y18001D1*
-X22476Y19501D2*
-Y18589D1*
-X22626Y18439D1*
-Y18314D1*
-X13750Y11737D2*
-Y12438D1*
-X14001Y12688D1*
-X14126D1*
-X14438Y13000D1*
-X14376D1*
-Y13250D1*
-X14001Y13626D1*
-Y14126D1*
-X13501Y14626D1*
-Y16126D1*
-X14063Y16688D1*
-X17126D1*
-X17626Y16188D1*
-Y14751D1*
-X17876Y14501D1*
-X18501D1*
-X18599Y14403D1*
-X20921D1*
-X24642Y19501D2*
-Y18736D1*
-X24939Y18439D1*
-Y18001D1*
-X25035Y19501D2*
-Y18717D1*
-X25189Y18564D1*
-Y18314D1*
-X21886Y19501D2*
-Y18073D1*
-X21876Y18063D1*
-Y18001D1*
-X22279Y19501D2*
-Y18536D1*
-X22376Y18439D1*
-Y18001D1*
-X14626Y13313D2*
-Y13813D1*
-X13751Y14688D1*
-Y15438D1*
-X13750D1*
-Y16125D1*
-X14188Y16563D1*
-X17063D1*
-X17501Y16126D1*
-Y14688D1*
-X18251Y13938D1*
-X18814D1*
-X19001Y14126D1*
-X19751D1*
-X19868Y14009D1*
-X20921D1*
-X13751Y7750D2*
-X14188D1*
-X14251Y7812D1*
-X13938Y12938D2*
-Y13375D1*
-X13751Y13563D1*
-Y14126D1*
-X13250Y14626D1*
-Y15438D1*
-Y16125D1*
-X13938Y16813D1*
-X17251D1*
-X17751Y16313D1*
-Y15063D1*
-X18001Y14813D1*
-X18523D1*
-X18586Y14876D1*
-X18934D1*
-X19014Y14796D1*
-X20921D1*
-X13438Y12938D2*
-Y13188D1*
-X12938Y13688D1*
-Y13813D1*
-X12750Y15438D2*
-Y14501D1*
-X12938Y14313D1*
-Y13813D1*
-X12750Y15438D2*
-Y16126D1*
-X13688Y17063D1*
-X17376D1*
-X18126Y16313D1*
-X18564D1*
-X18702Y16174D1*
-X20921D1*
-X12625Y14001D2*
-Y13563D1*
-X13125Y13063D1*
-Y12688D1*
-X12750Y11737D2*
-Y12313D1*
-X13125Y12688D1*
-X12625Y14001D2*
-Y14376D1*
-X12500Y14501D1*
-Y16126D1*
-X13563Y17188D1*
-X17438D1*
-X18063Y16563D1*
-X18564D1*
-X18689Y16688D1*
-X18939D1*
-X19059Y16568D1*
-X20921D1*
-X12813Y12938D2*
-X12313Y13438D1*
-Y14188D1*
-X12250Y15438D2*
-Y14251D1*
-X12313Y14188D1*
-X12250Y15438D2*
-Y16126D1*
-X13480Y17355D1*
-X20921D1*
-X12500Y12688D2*
-Y13000D1*
-X12000Y13501D1*
-Y14376D1*
-X12250Y11737D2*
-Y12438D1*
-X12500Y12688D1*
-X12000Y14376D2*
-Y16188D1*
-X13364Y17552D1*
-X20921D1*
-X12188Y12938D2*
-X11750Y13375D1*
-Y14699D1*
-Y16251D1*
-X12438Y16938D1*
-Y17001D1*
-X11750Y15438D2*
-Y14699D1*
-X12438Y16938D2*
-X13250Y17751D1*
-X20919D1*
-X20921Y17749D1*
-X19189Y13876D2*
-X19751D1*
-X19815Y13812D1*
-X20921D1*
-X20626Y8500D2*
-Y7312D1*
-X21186Y6752D1*
-Y5399D1*
-X16250Y15438D2*
-X16188D1*
-Y14876D1*
-X16126Y14813D1*
-Y13751D1*
-X16563Y13313D1*
-X17251D1*
-X19126Y11438D1*
-Y10000D1*
-X20626Y8500D1*
-X18439Y14188D2*
-Y14251D1*
-X19876D1*
-X19921Y14206D1*
-X20921D1*
-X20251Y8375D2*
-Y7754D1*
-X20186Y7690D1*
-Y5399D1*
-X15750Y15438D2*
-Y13939D1*
-X16501Y13188D1*
-X17188D1*
-X19001Y11375D1*
-Y9625D1*
-X20251Y8375D1*
-X18753Y14642D2*
-Y14600D1*
-X20921D1*
-X19186Y5399D2*
-Y7435D1*
-X19876Y8125D1*
-Y8250D1*
-X15250Y15438D2*
-Y14251D1*
-X16438Y13063D1*
-X17126D1*
-X18876Y11313D1*
-Y9250D1*
-X19876Y8250D1*
-X18439Y15063D2*
-Y15051D1*
-X19130D1*
-X19188Y14993D1*
-X20921D1*
-X18186Y5399D2*
-Y6685D1*
-X19439Y7937D1*
-Y8062D1*
-X19501Y8125D1*
-X14750Y11737D2*
-Y12437D1*
-X15251Y12938D1*
-X17063D1*
-X18751Y11250D1*
-Y8875D1*
-X19501Y8125D1*
-X18439Y15501D2*
-Y15387D1*
-X20921D1*
-X17186Y5399D2*
-Y6685D1*
-X17313Y6812D1*
-X17501D1*
-X18063Y7375D1*
-X18564D1*
-X19189Y8000D1*
-X19126D1*
-X15250Y11737D2*
-Y12437D1*
-X15626Y12813D1*
-X17001D1*
-X18626Y11188D1*
-Y8500D1*
-X19126Y8000D1*
-X18376Y15938D2*
-X18534Y15781D1*
-X20921D1*
-X16186Y5399D2*
-Y6685D1*
-X16438Y6937D1*
-X17438D1*
-X18001Y7500D1*
-X18314D1*
-X18751Y7937D1*
-X15750Y11737D2*
-Y12375D1*
-X16063Y12688D1*
-X16938D1*
-X18501Y11125D1*
-Y8187D1*
-X18751Y7937D1*
-X18814Y16438D2*
-Y16371D1*
-X20921D1*
-X15186Y5399D2*
-Y6686D1*
-X15563Y7062D1*
-X17376D1*
-X17938Y7625D1*
-X18189D1*
-X18376Y7812D1*
-Y7937D1*
-X16250Y11737D2*
-Y12375D1*
-X16438Y12563D1*
-X16876D1*
-X18376Y11063D1*
-Y7937D1*
-Y16813D2*
-X19064D1*
-X19112Y16765D1*
-X20921D1*
-X14186Y5399D2*
-Y6686D1*
-X14688Y7187D1*
-X17251D1*
-X18001Y7937D1*
-X16750Y11737D2*
-X17514D1*
-X18251Y11000D1*
-Y8250D1*
-X18001Y8000D1*
-Y7937D1*
-X22875Y9750D2*
-Y10065D1*
-X22673Y10266D1*
-Y11076D1*
-X22814Y7375D2*
-Y9238D1*
-X22875D1*
-X10750Y15438D2*
-Y16751D1*
-X12500Y18501D1*
-X20376D1*
-X20412Y18537D1*
-X20921D1*
-X10250Y15438D2*
-Y16501D1*
-X12375Y18626D1*
-X20251D1*
-X20359Y18733D1*
-X20921D1*
-X19439Y12563D2*
-X20064D1*
-X20192Y12434D1*
-X20921D1*
-X19189Y13250D2*
-Y12188D1*
-X20251Y11125D1*
-Y9750D1*
-X19189Y13250D2*
-X19626D1*
-X20049Y12828D1*
-X20921D1*
-X22870Y19501D2*
-Y18633D1*
-X23127Y18376D1*
-Y18314D1*
-X29346Y16765D2*
-D15*
-X30016D1*
-X30065Y16813D1*
-X18751Y13200D2*
-D14*
-X18677D1*
-X18439Y13438D1*
-X29346Y15387D2*
-D15*
-X29740D1*
-D14*
-X29940D1*
-Y16688D1*
-X30065Y16813D1*
-X29346Y13222D2*
-D15*
-X29719D1*
-X29940Y13000D1*
-X26610Y11076D2*
-Y11580D1*
-X26502Y11688D1*
-D14*
-X26314D1*
-X20921Y15584D2*
-D15*
-X21315D1*
-D14*
-X21543D1*
-X21564Y15563D1*
-X20921Y13025D2*
-D15*
-X21315D1*
-D14*
-X21852D1*
-X22626Y12250D1*
-X22814D1*
-X22876Y12188D1*
-X20921Y16962D2*
-D15*
-X21315D1*
-D14*
-X21478D1*
-X21564Y16876D1*
-X20921Y16962D2*
-D15*
-X20527D1*
-D14*
-X20040D1*
-X19939Y17063D1*
-X23461Y11076D2*
-D15*
-Y10682D1*
-D14*
-Y10541D1*
-X23627Y10375D1*
-X23461Y19501D2*
-D15*
-Y19960D1*
-X23564Y20064D1*
-D14*
-X25627D1*
-D15*
-X24925D1*
-X24838Y19977D1*
-D14*
-Y19501D1*
-X26610D2*
-D15*
-Y20080D1*
-D14*
-X26252Y20439D1*
-X26002D1*
-X21513Y9250D2*
-Y7988D1*
-X21939Y7562D1*
-Y7625D1*
-X29252Y11125D2*
-Y11313D1*
-X29377Y11438D1*
-X29877D1*
-X30502Y10813D1*
-Y10625D1*
-X30627Y10500D1*
-X29346Y16765D2*
-D15*
-X28238D1*
-X28127Y16876D1*
-X16376Y14126D2*
-D14*
-X17313D1*
-X18001Y13438D1*
-X18439D1*
-X29346Y13222D2*
-D15*
-X28911D1*
-X28815Y13125D1*
-X25627Y20064D2*
-D14*
-X26002Y20439D1*
-X30440Y19751D2*
-X30190D1*
-X29940Y19501D1*
-Y18001D1*
-X30065Y17876D1*
-X30440Y19751D2*
-Y19314D1*
-X32940Y16813D1*
-Y15063D1*
-X34628Y13375D1*
-X34753D1*
-X15938Y8375D2*
-X11125D1*
-X11063Y8312D1*
-X30065Y17876D2*
-X30127D1*
-X31752Y16251D1*
-X31690D1*
-X11063Y8312D2*
-X11000D1*
-X10563Y7875D1*
-Y7500D1*
-X10438Y7375D1*
-X21939Y7625D2*
-Y7875D1*
-X20939Y8875D1*
-X20564D1*
-X19501Y9938D1*
-Y10875D1*
-X17063Y10563D2*
-Y10625D1*
-X15251D1*
-X13375D1*
-X7562D1*
-X7125Y10188D1*
-Y9938D1*
-X7062Y9875D1*
-X17438Y10824D2*
-X17325D1*
-X17063Y10563D1*
-X13375Y10500D2*
-Y10625D1*
-X15251Y10500D2*
-Y10625D1*
-X24838Y11076D2*
-D15*
-Y10682D1*
-D14*
-Y10538D1*
-X25002Y10375D1*
-X25377D1*
-X27231Y8521D1*
-X31195D1*
-Y8926D1*
-X31690D1*
-X23189Y7375D2*
-D13*
-Y10125D1*
-X22870Y10444D1*
-Y11076D1*
-X25035D2*
-Y11505D1*
-X24865Y11675D1*
-X24627D1*
-X10186Y5399D2*
-Y7874D1*
-X10938Y8625D1*
-X17626D1*
-X18126Y9125D1*
-Y10000D1*
-X18001D1*
-X27004Y11076D2*
-Y10627D1*
-X26877Y10500D1*
-X27186Y5399D2*
-Y6940D1*
-X26752Y7375D1*
-X25377Y9238D2*
-Y8750D1*
-X26752Y7375D1*
-X24642Y11076D2*
-Y10423D1*
-X24980Y10084D1*
-X25225D1*
-X25377Y9933D1*
-Y9750D1*
-X24127D2*
-X24051D1*
-Y11076D1*
-X25377Y7375D2*
-X24127Y8625D1*
-Y9238D1*
-X30752Y16813D2*
-X30690Y16751D1*
-Y13063D1*
-X31614Y12139D1*
-Y11661D1*
-X29346Y17552D2*
-X30076D1*
-X30690Y16938D1*
-Y16813D1*
-X30752D1*
-X29346Y16174D2*
-X28113D1*
-X28099Y16188D1*
-X27502D1*
-X23502Y9750D2*
-Y10063D1*
-X23067Y10497D1*
-Y11076D1*
-X25186Y5399D2*
-Y7128D1*
-X23502Y8813D1*
-Y9238D1*
-X29346Y12237D2*
-X30364D1*
-X30752Y12625D1*
-X31186Y5399D2*
-Y6753D1*
-X30565Y7375D1*
-X29690D1*
-X28940Y10938D2*
-Y10813D1*
-X28752Y10625D1*
-X28627D1*
-X28565Y10688D1*
-Y11062D1*
-X28579Y11076D1*
-X29346Y17355D2*
-X28710D1*
-X28440Y17626D1*
-X27002D1*
-Y17563D1*
-X32186Y5399D2*
-Y6753D1*
-X31565Y7375D1*
-X31065D1*
-X29627Y10938D2*
-Y10688D1*
-X29440Y10500D1*
-X28440D1*
-X28377Y10563D1*
-Y11071D1*
-X28382Y11076D1*
-X29346Y17159D2*
-X28657D1*
-X28377Y17438D1*
-X27314D1*
-Y17313D1*
-X33186Y5399D2*
-Y6753D1*
-X32565Y7375D1*
-X32065D1*
-Y7993D1*
-X32770Y8698D1*
-X30190Y10625D2*
-X29940Y10375D1*
-X27127D1*
-X26939Y10188D1*
-X25939D1*
-X25439Y10688D1*
-Y11066D1*
-X25429Y11076D1*
-X29346Y16568D2*
-X27997D1*
-X27877Y16688D1*
-X27439D1*
-X29346Y12434D2*
-X30061D1*
-X30502Y12875D1*
-X34186Y5399D2*
-Y6941D1*
-X33753Y7375D1*
-X33003D1*
-X30815Y11000D2*
-X30002Y11813D1*
-X29377D1*
-X29346Y11844D1*
-Y16371D2*
-X27997D1*
-X27930Y16438D1*
-X27752D1*
-X29346Y15978D2*
-X28166D1*
-X28127Y15938D1*
-X27877D1*
-X29346Y15781D2*
-X28282D1*
-X28190Y15688D1*
-X27564D1*
-X29346Y17749D2*
-X28754D1*
-X28752Y17751D1*
-X29346Y12041D2*
-X30667D1*
-X31002Y12375D1*
-X29346Y12631D2*
-X29945D1*
-X30252Y12938D1*
-Y13250D1*
-X30377Y13375D1*
-X30440D1*
-X29346Y13615D2*
-X28367D1*
-X28190Y13438D1*
-Y13250D1*
-X29346Y14403D2*
-X28592D1*
-X28315Y14126D1*
-X27940D1*
-X29346Y14796D2*
-X28548D1*
-X28377Y14626D1*
-X28002D1*
-X29346Y15584D2*
-X28335D1*
-X28190Y15438D1*
-X27877D1*
-X29346Y15190D2*
-X28191D1*
-X28190Y15188D1*
-X27564D1*
-X28186Y5399D2*
-Y6753D1*
-X27564Y7375D1*
-X27439D1*
-X29346Y14600D2*
-X28601D1*
-X28377Y14376D1*
-X27627D1*
-X29186Y5399D2*
-Y6753D1*
-X28565Y7375D1*
-X28190D1*
-X29346Y14206D2*
-X28582D1*
-X28190Y13813D1*
-X27690D1*
-X27627Y13876D1*
-X28940Y7375D2*
-X29377Y6937D1*
-X30002D1*
-X30186Y6753D1*
-Y5399D1*
-X38186D2*
-Y6750D1*
-X37566Y7371D1*
-Y7500D1*
-X37186Y5399D2*
-Y6629D1*
-X36315Y7500D1*
-X36186Y5399D2*
-Y6754D1*
-X35440Y7500D1*
-X35186Y5399D2*
-Y6879D1*
-X34565Y7500D1*
-X25689Y12438D2*
-X27002D1*
-X28565Y14001D1*
-X29346D1*
-Y14009D1*
-X21513Y9813D2*
-Y10137D1*
-X21689Y10313D1*
-Y11076D1*
-X21001Y9813D2*
-Y9250D1*
-X14250Y11737D2*
-Y10938D1*
-X16876D1*
-X17188Y11250D1*
-X17813D1*
-X18063Y11000D1*
-Y10813D1*
-X18001Y10750D1*
-X9262Y13438D2*
-X10000D1*
-X11000Y12438D1*
-Y11063D1*
-X11125Y10938D1*
-X14250D1*
-X20814Y10438D2*
-X20939Y10313D1*
-Y9813D1*
-X21001D1*
-X13250Y11737D2*
-Y12375D1*
-X13688Y12813D1*
-Y13125D1*
-X13250Y13563D1*
-Y14313D1*
-X13000Y14563D1*
-Y16188D1*
-X13751Y16938D1*
-X17313D1*
-X18063Y16188D1*
-X18501D1*
-X18712Y15978D1*
-X20921D1*
-X10750Y11737D2*
-Y11000D1*
-X10938Y10813D1*
-X16938D1*
-X17251Y11125D1*
-X17688D1*
-X17751Y11063D1*
-Y10500D1*
-X17876Y10375D1*
-X10750Y11737D2*
-Y12500D1*
-X10313Y12938D1*
-X9262D1*
-X21886Y11076D2*
-Y10134D1*
-X21876Y10125D1*
-X28382Y19501D2*
-Y19943D1*
-X28815Y20376D1*
-X28939D1*
-X29002Y20439D1*
-X28185Y19501D2*
-Y20256D1*
-X28002Y20439D1*
-X28579Y19501D2*
-Y19828D1*
-X28690Y19939D1*
-X29502D1*
-X30002Y20439D1*
-X27988Y19501D2*
-Y19890D1*
-X27940Y19939D1*
-X27502D1*
-X27002Y20439D1*
-D37*
-X25689Y12438D3*
-X11188Y7937D3*
-X38187Y7437D3*
-X6750Y15126D3*
-X12313Y10313D3*
-X14438D3*
-X12313Y9688D3*
-X14438D3*
-X27752Y9500D3*
-Y10125D3*
-X29877D3*
-Y9500D3*
-X27439Y7375D3*
-X28190D3*
-X28940D3*
-X19876Y8250D3*
-X19439Y12563D3*
-X14751Y14501D3*
-X18001Y10750D3*
-X19189Y13250D3*
-X19689Y12313D3*
-X25689Y18376D3*
-X21876Y10125D3*
-X17876Y10375D3*
-X20814Y10438D3*
-X19189Y13876D3*
-X18439Y14188D3*
-X18753Y14642D3*
-X18439Y15063D3*
-Y15501D3*
-X18376Y15938D3*
-X11500Y12813D3*
-X11875Y12688D3*
-X12188Y12938D3*
-X12500Y12688D3*
-X12313Y14188D3*
-X13125Y12688D3*
-X13438Y12938D3*
-X13938D3*
-X14376Y13000D3*
-X14626Y13313D3*
-X20501Y10750D3*
-X13751Y13563D3*
-X12938Y13813D3*
-X12813Y12938D3*
-X11125Y12688D3*
-X12625Y14001D3*
-X12000Y14376D3*
-X12438Y17001D3*
-X12063D3*
-X11688D3*
-X11313Y16876D3*
-X16063Y10313D3*
-X17751Y9625D3*
-X16376Y7437D3*
-X15938Y7937D3*
-X18814Y16438D3*
-X32002Y9500D3*
-X34565Y7500D3*
-X35440D3*
-X36315D3*
-X37566D3*
-X18376Y16813D3*
-X23877Y18001D3*
-X24127Y18314D3*
-X14313Y14501D3*
-X26877Y10500D3*
-X28940Y10938D3*
-X13751Y7750D3*
-X14251Y7812D3*
-X20251Y9750D3*
-X15001Y14188D3*
-X8312Y17876D3*
-X20001Y12063D3*
-X19564Y11438D3*
-X16688Y13688D3*
-X29627Y10938D3*
-X30190Y10625D3*
-X24627Y11675D3*
-X30815Y11000D3*
-X31002Y12375D3*
-X30752Y12625D3*
-X30502Y12875D3*
-X30440Y13375D3*
-X28190Y13250D3*
-X18501Y12563D3*
-X26503Y8033D3*
-X26752Y7375D3*
-X29690D3*
-X31065D3*
-X32065D3*
-X33003D3*
-X21626Y18314D3*
-X22126D3*
-X22626D3*
-X27627Y13876D3*
-X27940Y14126D3*
-X27627Y14376D3*
-X28002Y14626D3*
-X27564Y15188D3*
-X27877Y15438D3*
-X18001Y10000D3*
-X15001Y8062D3*
-X15376D3*
-X24689Y18314D3*
-X24439Y18001D3*
-X27564Y15688D3*
-X27877Y15938D3*
-X24939Y18001D3*
-X25189Y18314D3*
-X27502Y16188D3*
-X27752Y16438D3*
-X27439Y16688D3*
-X23377Y18001D3*
-X23627Y18314D3*
-X23127D3*
-X8688Y16313D3*
-X27314Y17313D3*
-X32002Y10125D3*
-X27002Y17563D3*
-X31690Y14938D3*
-X10375Y18439D3*
-X28752Y17751D3*
-X29940Y13000D3*
-X26314Y11688D3*
-X22814Y7375D3*
-X23189D3*
-X25377D3*
-X25877D3*
-X22626Y15188D3*
-X21564Y15563D3*
-X21376Y10813D3*
-X22876Y12188D3*
-X19939Y17063D3*
-X21564Y16876D3*
-X23627Y10375D3*
-X43004Y8688D3*
-X31690Y16251D3*
-X36565Y12563D3*
-X38128D3*
-X19501Y10875D3*
-X23189Y20064D3*
-X30315Y18126D3*
-Y18564D3*
-Y19001D3*
-X15751Y13375D3*
-X21376Y7250D3*
-X21939Y7625D3*
-X22376Y18001D3*
-X22876D3*
-X21876D3*
-X35190Y8875D3*
-X37316Y7937D3*
-X32725Y8090D3*
-X31287Y8834D3*
-X27127Y9813D3*
-X25803Y9919D3*
-X17938Y8437D3*
-X30065Y16813D3*
-X15938Y8375D3*
-X18439Y13438D3*
-X17001Y7625D3*
-X17126Y9125D3*
-X15688Y9375D3*
-X11688D3*
-X9750Y7375D3*
-X8750D3*
-X17063Y10563D3*
-X13375Y10500D3*
-X15251D3*
-X7187Y13375D3*
-X31315Y11438D3*
-X30627Y10500D3*
-X29252Y11125D3*
-X28127Y16876D3*
-X11438Y19501D3*
-X16376Y14126D3*
-X9750Y12125D3*
-X13375Y9375D3*
-X13438Y18939D3*
-X28815Y13125D3*
-X23689Y7375D3*
-X24439D3*
-X4375Y14563D3*
-Y8688D3*
-X6500D3*
-X6562Y16688D3*
-X30440Y19751D3*
-X40316Y12875D3*
-X30065Y17876D3*
-X43004Y13313D3*
-X7937Y18063D3*
-X34753Y13375D3*
-X8750Y8312D3*
-X9625Y9375D3*
-X11063Y8312D3*
-X11688Y10500D3*
-X29002Y19376D3*
-X29752D3*
-X31752Y12688D3*
-X8250Y12813D3*
-X10438Y7375D3*
-X38628Y7250D3*
-X4375Y10313D3*
-Y12125D3*
-X43004D3*
-Y10313D3*
-X14938Y13063D3*
-X14688Y18939D3*
-X32753Y9938D3*
-X19501Y8125D3*
-X19126Y8000D3*
-X18751Y7937D3*
-X18376D3*
-X18001D3*
-X20626Y8500D3*
-X20251Y8375D3*
-X31614Y11661D3*
-X30752Y16813D3*
-X10327Y20565D2*
-D17*
-X26391D1*
-X10081Y20316D2*
-X22851D1*
-X23526D2*
-X26391D1*
-X9831Y20068D2*
-X21340D1*
-X9581Y19819D2*
-X21340D1*
-X29823D2*
-X30476D1*
-X9335Y19570D2*
-X21340D1*
-X28929D2*
-X30476D1*
-X9085Y19322D2*
-X21340D1*
-X28929D2*
-X30047D1*
-X8835Y19073D2*
-X21340D1*
-X28929D2*
-X29894D1*
-X8589Y18824D2*
-X12133D1*
-X8339Y18576D2*
-X11883D1*
-X8089Y18327D2*
-X11637D1*
-X8687Y18078D2*
-X11387D1*
-X7593Y17830D2*
-X7753D1*
-X8737D2*
-X11137D1*
-X7343Y17581D2*
-X7510D1*
-X8612D2*
-X10891D1*
-X7097Y17332D2*
-X7261D1*
-X8280D2*
-X10641D1*
-X6847Y17083D2*
-X7011D1*
-X8030D2*
-X10391D1*
-X29952D2*
-X30105D1*
-X6597Y16835D2*
-X6768D1*
-X7780D2*
-X10144D1*
-X29952D2*
-X30324D1*
-X6351Y16586D2*
-X6695D1*
-X9007D2*
-X9945D1*
-X29952D2*
-X30371D1*
-X31109D2*
-X31344D1*
-X6101Y16337D2*
-X6695D1*
-X9112D2*
-X9930D1*
-X29952D2*
-X30371D1*
-X31011D2*
-X31594D1*
-X5851Y16089D2*
-X6695D1*
-X9355D2*
-X9844D1*
-X29952D2*
-X30371D1*
-X31011D2*
-X31695D1*
-X5605Y15840D2*
-X6695D1*
-X9355D2*
-X9844D1*
-X16659D2*
-X16906D1*
-X29952D2*
-X30371D1*
-X31011D2*
-X31695D1*
-X5355Y15591D2*
-X6695D1*
-X9355D2*
-X9844D1*
-X16659D2*
-X16906D1*
-X29952D2*
-X30371D1*
-X31011D2*
-X31695D1*
-X5105Y15343D2*
-X6387D1*
-X9355D2*
-X9844D1*
-X16659D2*
-X16906D1*
-X29952D2*
-X30371D1*
-X31011D2*
-X31695D1*
-X4859Y15094D2*
-X6207D1*
-X9355D2*
-X9844D1*
-X16659D2*
-X16906D1*
-X29952D2*
-X30371D1*
-X31011D2*
-X31695D1*
-X4609Y14845D2*
-X6070D1*
-X9355D2*
-X9844D1*
-X16659D2*
-X16906D1*
-X29952D2*
-X30371D1*
-X31011D2*
-X31695D1*
-X4359Y14597D2*
-X6070D1*
-X9355D2*
-X9844D1*
-X16659D2*
-X16906D1*
-X29952D2*
-X30371D1*
-X31011D2*
-X31695D1*
-X4337Y14348D2*
-X6070D1*
-X9355D2*
-X10680D1*
-X16444D2*
-X17023D1*
-X29952D2*
-X30371D1*
-X31011D2*
-X31695D1*
-X4337Y14099D2*
-X6070D1*
-X6808D2*
-X7043D1*
-X9355D2*
-X10680D1*
-X14808D2*
-X14973D1*
-X16444D2*
-X17273D1*
-X29952D2*
-X30371D1*
-X31011D2*
-X31695D1*
-X32745D2*
-X33019D1*
-X4337Y13851D2*
-X6070D1*
-X6808D2*
-X8383D1*
-X9120D2*
-X10680D1*
-X14944D2*
-X15211D1*
-X29952D2*
-X30371D1*
-X31011D2*
-X31695D1*
-X32745D2*
-X33266D1*
-X4337Y13602D2*
-X6070D1*
-X6808D2*
-X8281D1*
-X10276D2*
-X10680D1*
-X14944D2*
-X15457D1*
-X29952D2*
-X30082D1*
-X31011D2*
-X31695D1*
-X32745D2*
-X33516D1*
-X4337Y13353D2*
-X6070D1*
-X6808D2*
-X8281D1*
-X10526D2*
-X10680D1*
-X15050D2*
-X15707D1*
-X18159D2*
-X18644D1*
-X31011D2*
-X31695D1*
-X32745D2*
-X33766D1*
-X36077D2*
-X38641D1*
-X40007D2*
-X40715D1*
-X42042D2*
-X43043D1*
-X4337Y13104D2*
-X6070D1*
-X6808D2*
-X8281D1*
-X31089D2*
-X31695D1*
-X32745D2*
-X34012D1*
-X36077D2*
-X38641D1*
-X40007D2*
-X40715D1*
-X42042D2*
-X43043D1*
-X4337Y12856D2*
-X6070D1*
-X6808D2*
-X8281D1*
-X31339D2*
-X31695D1*
-X32745D2*
-X34262D1*
-X36077D2*
-X38641D1*
-X40007D2*
-X40660D1*
-X42042D2*
-X43043D1*
-X4337Y12607D2*
-X6070D1*
-X6808D2*
-X8281D1*
-X9730D2*
-X10203D1*
-X32745D2*
-X34574D1*
-X36077D2*
-X38641D1*
-X40007D2*
-X40410D1*
-X42042D2*
-X43043D1*
-X4337Y12358D2*
-X6070D1*
-X6808D2*
-X8281D1*
-X9218D2*
-X9844D1*
-X32745D2*
-X34711D1*
-X36077D2*
-X38641D1*
-X40007D2*
-X40164D1*
-X41187D2*
-X43043D1*
-X4337Y12110D2*
-X6070D1*
-X6808D2*
-X8281D1*
-X9218D2*
-X9844D1*
-X32745D2*
-X34711D1*
-X36077D2*
-X38641D1*
-X40937D2*
-X43043D1*
-X5901Y11861D2*
-X6070D1*
-X6808D2*
-X8281D1*
-X30929D2*
-X31238D1*
-X32745D2*
-X34711D1*
-X36077D2*
-X38641D1*
-X40687D2*
-X41480D1*
-X5901Y11612D2*
-X6070D1*
-X6808D2*
-X8445D1*
-X30644D2*
-X31187D1*
-X32745D2*
-X34711D1*
-X40441D2*
-X41480D1*
-X5901Y11364D2*
-X6070D1*
-X6808D2*
-X9844D1*
-X20452D2*
-X21340D1*
-X28929D2*
-X30012D1*
-X31015D2*
-X31316D1*
-X32745D2*
-X35039D1*
-X40191D2*
-X41480D1*
-X5901Y11115D2*
-X6070D1*
-X6808D2*
-X9844D1*
-X20569D2*
-X21340D1*
-X30015D2*
-X30258D1*
-X31226D2*
-X31695D1*
-X32745D2*
-X41480D1*
-X5901Y10866D2*
-X6070D1*
-X6808D2*
-X10465D1*
-X19444D2*
-X19934D1*
-X20569D2*
-X21340D1*
-X31218D2*
-X31695D1*
-X32745D2*
-X41480D1*
-X5901Y10618D2*
-X6070D1*
-X6808D2*
-X10691D1*
-X19444D2*
-X19934D1*
-X21198D2*
-X21340D1*
-X30964D2*
-X31262D1*
-X32745D2*
-X41480D1*
-X4337Y10369D2*
-X6070D1*
-X6808D2*
-X7457D1*
-X19444D2*
-X19934D1*
-X30526D2*
-X31262D1*
-X32745D2*
-X43043D1*
-X4337Y10120D2*
-X6070D1*
-X6808D2*
-X7457D1*
-X19448D2*
-X19934D1*
-X32745D2*
-X43043D1*
-X4337Y9872D2*
-X6070D1*
-X6808D2*
-X7457D1*
-X19694D2*
-X19844D1*
-X25804D2*
-X27383D1*
-X32745D2*
-X43043D1*
-X4337Y9623D2*
-X6070D1*
-X6890D2*
-X7457D1*
-X25804D2*
-X27344D1*
-X36702D2*
-X43043D1*
-X4337Y9374D2*
-X6125D1*
-X7136D2*
-X7457D1*
-X21468D2*
-X21820D1*
-X25804D2*
-X27344D1*
-X36952D2*
-X43043D1*
-X4337Y9125D2*
-X6363D1*
-X9554D2*
-X17012D1*
-X21468D2*
-X21820D1*
-X25804D2*
-X27578D1*
-X27925D2*
-X29703D1*
-X30050D2*
-X31262D1*
-X32175D2*
-X36180D1*
-X37202D2*
-X43043D1*
-X4337Y8877D2*
-X6613D1*
-X9554D2*
-X10762D1*
-X21468D2*
-X21820D1*
-X25804D2*
-X32305D1*
-X33237D2*
-X36430D1*
-X37448D2*
-X43043D1*
-X4337Y8628D2*
-X8816D1*
-X9554D2*
-X10500D1*
-X21034D2*
-X21867D1*
-X25941D2*
-X32258D1*
-X33237D2*
-X36676D1*
-X37698D2*
-X43043D1*
-X9554Y8379D2*
-X10250D1*
-X21034D2*
-X21867D1*
-X26187D2*
-X32012D1*
-X33237D2*
-X36926D1*
-X37948D2*
-X38664D1*
-X9554Y8131D2*
-X10004D1*
-X11566D2*
-X13594D1*
-X16316D2*
-X17621D1*
-X20944D2*
-X21867D1*
-X23507D2*
-X23742D1*
-X26437D2*
-X31781D1*
-X32644D2*
-X37176D1*
-X38194D2*
-X38664D1*
-X9554Y7882D2*
-X9867D1*
-X10636D2*
-X10765D1*
-X11612D2*
-X13344D1*
-X16437D2*
-X17504D1*
-X20944D2*
-X21867D1*
-X23507D2*
-X23992D1*
-X26687D2*
-X31746D1*
-X32394D2*
-X34414D1*
-X34718D2*
-X35289D1*
-X35593D2*
-X36164D1*
-X36468D2*
-X37414D1*
-X38437D2*
-X38664D1*
-X9554Y7633D2*
-X9867D1*
-X10507D2*
-X10867D1*
-X11507D2*
-X12691D1*
-X16753D2*
-X17254D1*
-X20944D2*
-X21867D1*
-X23523D2*
-X24238D1*
-X33917D2*
-X34160D1*
-X36722D2*
-X37160D1*
-X9554Y7385D2*
-X9867D1*
-X10507D2*
-X10867D1*
-X11507D2*
-X12445D1*
-X20995D2*
-X21867D1*
-X23616D2*
-X24488D1*
-X36870D2*
-X37156D1*
-X42017Y13378D2*
-Y12424D1*
-X41224D1*
-X40059Y11257D1*
-X39956Y11187D1*
-X39816Y11157D1*
-X35378D1*
-X35256Y11179D1*
-X35149Y11244D1*
-X35073Y11342D1*
-X35037Y11464D1*
-X35043Y11424D1*
-X34927Y11491D1*
-X34734D1*
-Y12596D1*
-X34690Y12594D1*
-X34568Y12617D1*
-X34447Y12695D1*
-X32721Y14421D1*
-Y9841D1*
-X33377Y9844D1*
-X36315D1*
-X36438Y9821D1*
-X36558Y9743D1*
-X38371Y7930D1*
-X38443Y7824D1*
-X38496Y7695D1*
-X38561Y7589D1*
-X38590Y7437D1*
-X38571Y7314D1*
-X38501Y7189D1*
-X38692Y7188D1*
-X38691Y8500D1*
-X38752Y8605D1*
-X38816Y8625D1*
-X43066D1*
-Y10375D1*
-X41628D1*
-X41523Y10436D1*
-X41503Y10500D1*
-Y11938D1*
-X41565Y12043D1*
-X41628Y12063D1*
-X43066D1*
-Y13376D1*
-X42015D1*
-X40740Y12912D2*
-Y13373D1*
-X40218Y13376D1*
-X40134Y13359D1*
-X39982D1*
-Y12154D1*
-X40741Y12912D1*
-X38664Y11846D2*
-Y13362D1*
-X37884Y13359D1*
-X36052D1*
-Y11841D1*
-X37503Y11844D1*
-X38663D1*
-X7608Y10455D2*
-X8642D1*
-Y10404D1*
-X10812Y10406D1*
-X11918D1*
-X11969Y10517D1*
-X10938Y10518D1*
-X10813Y10547D1*
-X10730Y10605D1*
-X10505Y10839D1*
-X10383Y10882D1*
-X9867D1*
-Y11394D1*
-X8825D1*
-X8703Y11416D1*
-X8582Y11494D1*
-X8462Y11625D1*
-X8430Y11688D1*
-X8415Y11737D1*
-X8308Y11785D1*
-X8312Y12591D1*
-X8308Y12535D1*
-X8312Y13341D1*
-X8308Y13285D1*
-Y13841D1*
-X8405D1*
-X8406Y13942D1*
-X8170Y13948D1*
-X8228Y13944D1*
-X7068D1*
-X7062Y14219D1*
-X6940Y14242D1*
-X6831Y14309D1*
-X6862Y14284D1*
-X6781Y14313D1*
-Y9708D1*
-X7142Y9344D1*
-X7480D1*
-X7483Y10455D1*
-X7608D1*
-X9192Y12532D2*
-Y12079D1*
-X9868Y12081D1*
-X9867Y12593D1*
-X10238D1*
-X10188Y12644D1*
-X9707D1*
-X9704Y12535D1*
-X9188D1*
-X17036Y10406D2*
-Y10538D1*
-X16938Y10518D1*
-X16411D1*
-X16450Y10407D1*
-X17036Y10406D1*
-X17642Y9058D2*
-X17036D1*
-Y9343D1*
-X14645Y9344D1*
-X14568Y9307D1*
-X14446Y9285D1*
-X14322Y9302D1*
-X14235Y9344D1*
-X12520D1*
-X12443Y9307D1*
-X12320Y9285D1*
-X12197Y9302D1*
-X12109Y9344D1*
-X9528D1*
-X9530Y8188D1*
-Y7246D1*
-X9895Y7247D1*
-X9892Y7874D1*
-X9920Y7998D1*
-X9978Y8082D1*
-X10730Y8833D1*
-X10838Y8901D1*
-X10938Y8919D1*
-X17506Y8922D1*
-X17641Y9057D1*
-X18360Y13130D2*
-X18805D1*
-X18788Y13247D1*
-X18730Y13292D1*
-X18626Y13394D1*
-X18122D1*
-X18175Y13312D1*
-X18360Y13127D1*
-X32093Y9110D2*
-Y8995D1*
-X31287D1*
-Y9155D1*
-X30084Y9156D1*
-X30007Y9119D1*
-X29885Y9097D1*
-X29761Y9114D1*
-X29674Y9156D1*
-X27959D1*
-X27882Y9119D1*
-X27760Y9097D1*
-X27636Y9114D1*
-X27524Y9168D1*
-X27433Y9254D1*
-X27373Y9363D1*
-X27350Y9485D1*
-X27364Y9609D1*
-X27416Y9722D1*
-X27434Y9742D1*
-X27408Y9875D1*
-Y9918D1*
-X27373Y9988D1*
-X27355Y10083D1*
-X27247Y10079D1*
-X27148Y9979D1*
-X27040Y9912D1*
-X26939Y9893D1*
-X25939D1*
-X25815Y9922D1*
-X25880Y9900D1*
-X25779Y9817D1*
-X25776Y9308D1*
-X25779Y9055D1*
-Y8796D1*
-X25744D1*
-X26764Y7779D1*
-X26853Y7765D1*
-X26967Y7715D1*
-X27061Y7633D1*
-X27091Y7583D1*
-X27187Y7689D1*
-X27295Y7751D1*
-X27417Y7777D1*
-X27541Y7765D1*
-X27655Y7715D1*
-X27748Y7633D1*
-X27787Y7570D1*
-X27853Y7597D1*
-X27937Y7689D1*
-X28045Y7751D1*
-X28167Y7777D1*
-X28291Y7765D1*
-X28405Y7715D1*
-X28455Y7672D1*
-X28624Y7662D1*
-X28795Y7751D1*
-X28917Y7777D1*
-X29041Y7765D1*
-X29155Y7715D1*
-X29249Y7633D1*
-X29314Y7523D1*
-X29354Y7597D1*
-X29437Y7689D1*
-X29545Y7751D1*
-X29667Y7777D1*
-X29791Y7765D1*
-X29905Y7715D1*
-X29955Y7672D1*
-X30565Y7669D1*
-X30689Y7641D1*
-X30736Y7614D1*
-X30812Y7689D1*
-X30920Y7751D1*
-X31042Y7777D1*
-X31166Y7765D1*
-X31280Y7715D1*
-X31330Y7672D1*
-X31565Y7669D1*
-X31689Y7641D1*
-X31736Y7614D1*
-X31771Y7750D1*
-Y7993D1*
-X31799Y8117D1*
-X31857Y8201D1*
-X32329Y8674D1*
-X32328Y9101D1*
-X33212D1*
-Y8295D1*
-X32786D1*
-X32359Y7868D1*
-Y7669D1*
-X32565D1*
-X32689Y7641D1*
-X32624Y7662D1*
-X32750Y7689D1*
-X32858Y7751D1*
-X32980Y7777D1*
-X33104Y7765D1*
-X33218Y7715D1*
-X33268Y7672D1*
-X33753Y7669D1*
-X33877Y7641D1*
-X33961Y7583D1*
-X34187Y7363D1*
-X34163Y7485D1*
-X34177Y7608D1*
-X34229Y7722D1*
-X34313Y7814D1*
-X34421Y7876D1*
-X34542Y7902D1*
-X34666Y7890D1*
-X34781Y7840D1*
-X34874Y7758D1*
-X34938Y7651D1*
-X34967Y7514D1*
-X35038Y7485D1*
-X35052Y7608D1*
-X35104Y7722D1*
-X35188Y7814D1*
-X35296Y7876D1*
-X35418Y7902D1*
-X35541Y7890D1*
-X35656Y7840D1*
-X35749Y7758D1*
-X35813Y7651D1*
-X35842Y7514D1*
-X35913Y7485D1*
-X35928Y7608D1*
-X35979Y7722D1*
-X36063Y7814D1*
-X36171Y7876D1*
-X36293Y7902D1*
-X36417Y7890D1*
-X36531Y7840D1*
-X36624Y7758D1*
-X36689Y7651D1*
-X36718Y7514D1*
-X37040Y7192D1*
-X37309Y7190D1*
-X37247Y7253D1*
-X37187Y7363D1*
-X37163Y7485D1*
-X37178Y7608D1*
-X37229Y7722D1*
-X37313Y7814D1*
-X37421Y7876D1*
-X37448Y7882D1*
-X36175Y9154D1*
-X33565Y9156D1*
-X32210D1*
-X32133Y9119D1*
-X32091Y9112D1*
-X31412Y10817D2*
-X31721D1*
-Y11270D1*
-X31621Y11258D1*
-X31498Y11275D1*
-X31386Y11329D1*
-X31295Y11414D1*
-X31235Y11523D1*
-X31211Y11646D1*
-X31226Y11769D1*
-X31277Y11882D1*
-X31317Y11926D1*
-Y12019D1*
-X31265Y12072D1*
-X31133Y11994D1*
-X31014Y11973D1*
-X30876Y11832D1*
-X30768Y11764D1*
-X30667Y11746D1*
-X30484D1*
-X30826Y11405D1*
-X30916Y11390D1*
-X31030Y11341D1*
-X31124Y11258D1*
-X31188Y11152D1*
-X31218Y11000D1*
-X31198Y10877D1*
-X31142Y10766D1*
-X31055Y10677D1*
-X30945Y10619D1*
-X30822Y10598D1*
-X30699Y10615D1*
-X30590Y10667D1*
-X30592Y10625D1*
-X30573Y10502D1*
-X30517Y10391D1*
-X30430Y10302D1*
-X30320Y10244D1*
-X30262Y10234D1*
-X30377Y10219D1*
-X31287D1*
-Y10817D1*
-X31412D1*
-X21444Y9407D2*
-Y8847D1*
-X20830D1*
-X20935Y8758D1*
-X20999Y8652D1*
-X21029Y8500D1*
-X21010Y8377D1*
-X20954Y8266D1*
-X20918Y8230D1*
-X20923Y7432D1*
-X21130Y7225D1*
-X21895Y7222D1*
-X21892Y8274D1*
-X21893Y8704D1*
-X21902Y8796D1*
-X21847D1*
-Y9410D1*
-X21440D1*
-X9088Y16245D2*
-X9330D1*
-X9326Y15006D1*
-X9330Y15057D1*
-Y13944D1*
-X9094Y13938D1*
-Y13840D1*
-X9192Y13837D1*
-X9445Y13841D1*
-X9704D1*
-Y13735D1*
-X10000Y13733D1*
-X10124Y13704D1*
-X10208Y13646D1*
-X10708Y13146D1*
-X10706Y14582D1*
-X9867Y14583D1*
-Y16294D1*
-X9957D1*
-X9956Y16501D1*
-X9984Y16625D1*
-X10042Y16709D1*
-X12167Y18834D1*
-X12275Y18902D1*
-X12375Y18920D1*
-X20129D1*
-X20233Y19000D1*
-X20333Y19026D1*
-X20341Y19057D1*
-X21369D1*
-X21365Y19921D1*
-Y20081D1*
-X22013Y20077D1*
-X22259Y20081D1*
-X22407Y20077D1*
-X22652Y20081D1*
-X22791D1*
-X22801Y20172D1*
-X22853Y20285D1*
-X22937Y20378D1*
-X23045Y20440D1*
-X23166Y20466D1*
-X23290Y20454D1*
-X23404Y20404D1*
-X23498Y20322D1*
-X23562Y20215D1*
-X23591Y20082D1*
-X24178Y20077D1*
-X24424Y20081D1*
-X24572Y20077D1*
-X24818Y20081D1*
-X24966Y20077D1*
-X25211Y20081D1*
-X25359Y20077D1*
-X25605Y20081D1*
-X25753Y20077D1*
-X25999Y20081D1*
-X26147Y20077D1*
-X26392Y20081D1*
-X26523D1*
-X26457Y20188D1*
-X26417Y20306D1*
-X26402Y20430D1*
-X26414Y20554D1*
-X26450Y20674D1*
-X26511Y20783D1*
-X26539Y20815D1*
-X15752Y20814D1*
-X10553D1*
-X4312Y14574D1*
-Y12063D1*
-X5750D1*
-X5855Y12002D1*
-X5875Y11938D1*
-Y10500D1*
-X5813Y10395D1*
-X5750Y10375D1*
-X4312D1*
-Y8625D1*
-X8562D1*
-X8668Y8564D1*
-X8687Y8500D1*
-X8688Y7250D1*
-X8840Y7249D1*
-X8843Y8024D1*
-Y8653D1*
-X8311Y8656D1*
-X7000D1*
-X6878Y8679D1*
-X6757Y8757D1*
-X6194Y9320D1*
-X6124Y9422D1*
-X6094Y9563D1*
-Y14813D1*
-X6116Y14935D1*
-X6194Y15056D1*
-X6357Y15219D1*
-X6414Y15347D1*
-X6497Y15440D1*
-X6605Y15502D1*
-X6716Y15525D1*
-X6719Y16188D1*
-Y16626D1*
-X6741Y16748D1*
-X6819Y16869D1*
-X7921Y17971D1*
-X7976Y18098D1*
-X8060Y18190D1*
-X8168Y18252D1*
-X8290Y18278D1*
-X8414Y18266D1*
-X8528Y18216D1*
-X8621Y18134D1*
-X8686Y18027D1*
-X8715Y17876D1*
-X8696Y17753D1*
-X8640Y17642D1*
-X8553Y17553D1*
-X8443Y17495D1*
-X8408Y17489D1*
-X7569Y16647D1*
-X7648Y16657D1*
-X8480D1*
-X8543Y16689D1*
-X8665Y16715D1*
-X8789Y16703D1*
-X8903Y16654D1*
-X8997Y16572D1*
-X9061Y16465D1*
-X9090Y16313D1*
-X9080Y16248D1*
-X30501Y20111D2*
-X30405Y19995D1*
-X30304Y19921D1*
-X30190Y19870D1*
-X30068Y19843D1*
-X29944Y19842D1*
-X29841Y19863D1*
-X29710Y19730D1*
-X29602Y19663D1*
-X29502Y19644D1*
-X28904D1*
-X28903Y19054D1*
-X29766Y19057D1*
-X29916D1*
-X29927Y19110D1*
-X29979Y19223D1*
-X30062Y19315D1*
-X30170Y19377D1*
-X30292Y19403D1*
-X30416Y19391D1*
-X30505Y19352D1*
-X30502Y20107D1*
-X11571Y7814D2*
-X11515Y7703D1*
-X11480Y7667D1*
-X11482Y7246D1*
-X12324Y7242D1*
-X12792Y7708D1*
-X12900Y7776D1*
-X13000Y7794D1*
-X13348D1*
-X13363Y7858D1*
-X13414Y7972D1*
-X13498Y8064D1*
-X13606Y8126D1*
-X13728Y8152D1*
-X13852Y8140D1*
-X13962Y8092D1*
-X13998Y8126D1*
-X14106Y8188D1*
-X14228Y8214D1*
-X14352Y8202D1*
-X14466Y8153D1*
-X14560Y8071D1*
-X14574Y8047D1*
-X14597Y8075D1*
-X14613Y8171D1*
-X14665Y8284D1*
-X14709Y8333D1*
-X13126Y8331D1*
-X11289Y8327D1*
-X11403Y8278D1*
-X11497Y8196D1*
-X11561Y8089D1*
-X11590Y7937D1*
-X11571Y7814D1*
-X10895Y7667D2*
-X10869Y7691D1*
-X10809Y7800D1*
-X10785Y7922D1*
-X10800Y8046D1*
-X10821Y8092D1*
-X10481Y7749D1*
-Y7245D1*
-X10895D1*
-X10893Y7661D1*
-X14967Y14165D2*
-X14881Y14120D1*
-X14754Y14099D1*
-X14834Y14021D1*
-X14902Y13913D1*
-X14920Y13813D1*
-Y13590D1*
-X14999Y13465D1*
-X15028Y13313D1*
-X15009Y13190D1*
-X14953Y13079D1*
-X14866Y12990D1*
-X14773Y12941D1*
-X14807Y12911D1*
-X15042Y13146D1*
-X15151Y13214D1*
-X15251Y13232D1*
-X15856D1*
-X15042Y14043D1*
-X14974Y14151D1*
-X15005Y14090D1*
-X14870Y13978D1*
-X14916Y13863D1*
-X20543Y10731D2*
-X20561Y10752D1*
-X20669Y10814D1*
-X20791Y10840D1*
-X20915Y10827D1*
-X21029Y10778D1*
-X21123Y10696D1*
-X21187Y10589D1*
-X21216Y10438D1*
-X21229Y10362D1*
-X21233Y10231D1*
-X21247Y10261D1*
-X21232Y10305D1*
-X21273Y10307D1*
-X21394Y10438D1*
-Y10496D1*
-X21365D1*
-Y11523D1*
-X20501Y11520D1*
-X20341D1*
-Y11744D1*
-X20253Y11746D1*
-X20112Y11678D1*
-X20459Y11333D1*
-X20527Y11225D1*
-X20546Y11125D1*
-Y10739D1*
-X16465Y7828D2*
-X16591Y7778D1*
-X16685Y7696D1*
-X16749Y7589D1*
-X16775Y7479D1*
-X17131Y7484D1*
-X17598Y7951D1*
-X17613Y8046D1*
-X17665Y8159D1*
-X17749Y8251D1*
-X17857Y8313D1*
-X17906Y8324D1*
-X17957Y8375D1*
-Y8543D1*
-X17834Y8417D1*
-X17726Y8349D1*
-X17626Y8331D1*
-X16039Y8327D1*
-X16154Y8278D1*
-X16247Y8196D1*
-X16311Y8089D1*
-X16340Y7952D1*
-X16459Y7834D1*
-X28905Y11337D2*
-X29041Y11328D1*
-X29155Y11278D1*
-X29249Y11196D1*
-X29279Y11146D1*
-X29375Y11252D1*
-X29483Y11314D1*
-X29604Y11340D1*
-X29728Y11328D1*
-X29843Y11278D1*
-X29936Y11196D1*
-X30000Y11089D1*
-X30023Y10995D1*
-X30167Y11027D1*
-X30291Y11015D1*
-X30414Y10958D1*
-X30413Y10989D1*
-X29877Y11518D1*
-X28899Y11520D1*
-X28903Y11339D1*
-X19858Y9685D2*
-X19849Y9735D1*
-X19863Y9859D1*
-X19915Y9972D1*
-X19954Y10015D1*
-X19957Y11004D1*
-X19825Y11135D1*
-X19804Y11115D1*
-X19694Y11057D1*
-X19571Y11035D1*
-X19448Y11052D1*
-X19423Y11064D1*
-X19421Y10121D1*
-X19851Y9692D1*
-X16418Y13982D2*
-X16436Y14002D1*
-X16544Y14064D1*
-X16666Y14090D1*
-X16789Y14078D1*
-X16893Y14033D1*
-X17317Y14031D1*
-X17299Y14099D1*
-X17018Y14380D1*
-X16950Y14488D1*
-X16932Y14588D1*
-X16930Y15906D1*
-X16813Y16019D1*
-X16630D1*
-X16633Y15169D1*
-Y14583D1*
-X16418D1*
-X16420Y13989D1*
-X23573Y7252D2*
-X23563Y7219D1*
-X24684Y7217D1*
-X23484Y8414D1*
-X23483Y7653D1*
-X23562Y7526D1*
-X23592Y7375D1*
-X23573Y7252D1*
-X29925Y15120D2*
-Y14727D1*
-Y14333D1*
-Y13939D1*
-X29926Y13492D1*
-X30050Y13465D1*
-X30104Y13597D1*
-X30187Y13689D1*
-X30295Y13751D1*
-X30398Y13773D1*
-X30395Y14813D1*
-Y16629D1*
-X30374Y16676D1*
-X30350Y16798D1*
-X30357Y16856D1*
-X29951Y17258D1*
-X29924D1*
-X29922Y17228D1*
-X29926Y16983D1*
-X29922Y16835D1*
-X29926Y16638D1*
-Y16244D1*
-Y15850D1*
-Y15457D1*
-Y15260D1*
-Y14866D1*
-Y14472D1*
-Y14079D1*
-Y13685D1*
-Y13291D1*
-X29958Y13264D1*
-X29987Y13377D1*
-X30047Y13461D1*
-X29986Y13375D1*
-X29926Y13367D1*
-X29922Y13094D1*
-X29926Y13025D1*
-X29958Y13063D1*
-Y13250D1*
-X29984Y13372D1*
-X31153Y16802D2*
-X31136Y16690D1*
-X31080Y16579D1*
-X30982Y16485D1*
-X30984Y13184D1*
-X31724Y12445D1*
-X31721Y13625D1*
-Y16231D1*
-X31156Y16798D1*
-X12707Y10406D2*
-X14049Y10410D1*
-X14094Y10517D1*
-X12688Y10518D1*
-X12652Y10521D1*
-X12686Y10464D1*
-X12699Y10407D1*
-X12688Y10518D1*
-X12661D1*
-X14833Y10406D2*
-X15674Y10410D1*
-X15719Y10517D1*
-X14777Y10521D1*
-X14811Y10464D1*
-X14825Y10407D1*
-X14813Y10518D1*
-X14786D1*
-X25595Y18440D2*
-X28541D1*
-X25599Y18191D2*
-X28541D1*
-X25372Y17943D2*
-X26842D1*
-X27161D2*
-X28373D1*
-X25224Y17694D2*
-X26595D1*
-X21714Y17445D2*
-X26592D1*
-X21833Y17196D2*
-X26810D1*
-X21985Y16948D2*
-X27107D1*
-X21950Y16699D2*
-X27013D1*
-X21714Y16450D2*
-X27092D1*
-X21714Y16202D2*
-X27076D1*
-X21714Y15953D2*
-X27150D1*
-X21966Y15704D2*
-X27138D1*
-X21978Y15456D2*
-X27213D1*
-X21774Y15207D2*
-X27138D1*
-X21714Y14958D2*
-X27209D1*
-X21714Y14710D2*
-X27377D1*
-X21714Y14461D2*
-X27209D1*
-X21714Y14212D2*
-X27232D1*
-X21714Y13964D2*
-X27209D1*
-X21714Y13715D2*
-X27232D1*
-X21714Y13466D2*
-X27588D1*
-X22169Y13217D2*
-X27342D1*
-X22419Y12969D2*
-X27092D1*
-X22669Y12720D2*
-X25377D1*
-X27724D2*
-X28541D1*
-X23188Y12471D2*
-X25263D1*
-X27478D2*
-X28541D1*
-X23302Y12223D2*
-X25326D1*
-X27228D2*
-X28541D1*
-X23243Y11974D2*
-X24330D1*
-X24923D2*
-X26006D1*
-X26716D2*
-X28541D1*
-X24152Y17714D2*
-X24117Y17678D1*
-X24007Y17620D1*
-X23884Y17598D1*
-X23761Y17615D1*
-X23649Y17669D1*
-X23629Y17688D1*
-X23507Y17620D1*
-X23384Y17598D1*
-X23261Y17615D1*
-X23149Y17669D1*
-X23129Y17688D1*
-X23007Y17620D1*
-X22884Y17598D1*
-X22761Y17615D1*
-X22648Y17669D1*
-X22629Y17688D1*
-X22507Y17620D1*
-X22384Y17598D1*
-X22261Y17615D1*
-X22148Y17669D1*
-X22129Y17688D1*
-X22007Y17620D1*
-X21884Y17598D1*
-X21761Y17615D1*
-X21687Y17651D1*
-X21689Y17255D1*
-X21779Y17216D1*
-X21873Y17134D1*
-X21937Y17027D1*
-X21967Y16876D1*
-X21947Y16753D1*
-X21891Y16642D1*
-X21804Y16553D1*
-X21690Y16494D1*
-X21689Y15942D1*
-X21779Y15904D1*
-X21873Y15821D1*
-X21937Y15715D1*
-X21967Y15563D1*
-X21947Y15440D1*
-X21891Y15329D1*
-X21804Y15240D1*
-X21690Y15181D1*
-Y13368D1*
-X21852D1*
-X21974Y13346D1*
-X22095Y13268D1*
-X22766Y12597D1*
-X22978Y12578D1*
-X23092Y12528D1*
-X23186Y12446D1*
-X23250Y12339D1*
-X23279Y12188D1*
-X23260Y12065D1*
-X23204Y11954D1*
-X23125Y11873D1*
-X24279Y11875D1*
-X24374Y11989D1*
-X24482Y12051D1*
-X24604Y12078D1*
-X24728Y12065D1*
-X24842Y12016D1*
-X24898Y11967D1*
-X25035Y11916D1*
-X25081Y11875D1*
-X25958D1*
-X25978Y11910D1*
-X26062Y12002D1*
-X26170Y12064D1*
-X26292Y12090D1*
-X26416Y12078D1*
-X26526Y12030D1*
-X26624Y12009D1*
-X26730Y11944D1*
-X26785Y11874D1*
-X28565Y11875D1*
-Y12809D1*
-X28496Y12879D1*
-X28457Y12951D1*
-X28430Y12927D1*
-X28320Y12869D1*
-X28197Y12848D1*
-X28074Y12865D1*
-X27962Y12919D1*
-X27930Y12948D1*
-X27210Y12230D1*
-X27102Y12162D1*
-X27002Y12143D1*
-X25967D1*
-X25819Y12057D1*
-X25697Y12035D1*
-X25574Y12052D1*
-X25461Y12106D1*
-X25371Y12191D1*
-X25311Y12301D1*
-X25287Y12423D1*
-X25301Y12546D1*
-X25353Y12660D1*
-X25437Y12752D1*
-X25545Y12814D1*
-X25667Y12840D1*
-X25790Y12828D1*
-X25905Y12778D1*
-X25954Y12735D1*
-X26882Y12734D1*
-X27620Y13472D1*
-X27511Y13490D1*
-X27399Y13544D1*
-X27308Y13629D1*
-X27248Y13738D1*
-X27225Y13860D1*
-X27239Y13984D1*
-X27291Y14097D1*
-X27314Y14123D1*
-X27248Y14238D1*
-X27225Y14360D1*
-X27239Y14484D1*
-X27291Y14597D1*
-X27375Y14689D1*
-X27483Y14752D1*
-X27604Y14778D1*
-X27572Y14786D1*
-X27449Y14802D1*
-X27336Y14856D1*
-X27246Y14942D1*
-X27186Y15051D1*
-X27162Y15173D1*
-X27177Y15297D1*
-X27228Y15410D1*
-X27252Y15436D1*
-X27186Y15551D1*
-X27162Y15673D1*
-X27177Y15797D1*
-X27223Y15899D1*
-X27183Y15942D1*
-X27123Y16051D1*
-X27100Y16173D1*
-X27114Y16297D1*
-X27161Y16399D1*
-X27121Y16442D1*
-X27061Y16551D1*
-X27037Y16673D1*
-X27052Y16797D1*
-X27103Y16910D1*
-X27143Y16953D1*
-X27086Y16981D1*
-X26996Y17067D1*
-X26942Y17166D1*
-X26886Y17178D1*
-X26774Y17232D1*
-X26683Y17317D1*
-X26623Y17426D1*
-X26599Y17548D1*
-X26614Y17672D1*
-X26666Y17785D1*
-X26750Y17877D1*
-X26857Y17939D1*
-X26979Y17966D1*
-X27103Y17953D1*
-X27178Y17921D1*
-X28387Y17920D1*
-X28416Y17973D1*
-X28500Y18065D1*
-X28564Y18102D1*
-X28565Y18689D1*
-X25459D1*
-X25498Y18572D1*
-X25562Y18465D1*
-X25592Y18314D1*
-X25573Y18191D1*
-X25517Y18079D1*
-X25430Y17990D1*
-X25337Y17941D1*
-X25323Y17878D1*
-X25267Y17767D1*
-X25180Y17678D1*
-X25069Y17620D1*
-X24947Y17598D1*
-X24823Y17615D1*
-X24711Y17669D1*
-X24691Y17688D1*
-X24569Y17620D1*
-X24447Y17598D1*
-X24323Y17615D1*
-X24211Y17669D1*
-X24163Y17714D1*
-D19*
-X41378Y13063D3*
-D20*
-X33308D3*
-D21*
-X39323Y12543D3*
-X35393D3*
-D23*
-X8062Y9875D3*
-D24*
-X7062D3*
-D22*
-X8750Y12188D3*
-X9262D3*
-D25*
-X17438Y10313D3*
-Y10824D3*
-Y9500D3*
-Y8988D3*
-X18751Y12688D3*
-Y13200D3*
-X31690Y9437D3*
-Y8926D3*
-Y10375D3*
-Y10887D3*
-D22*
-X21001Y9250D3*
-X21513D3*
-X33282Y8698D3*
-X32770D3*
-D26*
-X8750Y15626D3*
-X7648D3*
-X8750Y14563D3*
-X7648D3*
-D27*
-X9186Y5399D3*
-X10186D3*
-X11186D3*
-X12186D3*
-X13186D3*
-X14186D3*
-X15186D3*
-X16186D3*
-X17186D3*
-X18186D3*
-X19186D3*
-X20186D3*
-X21186D3*
-X22186D3*
-X23186D3*
-X24186D3*
-X25186D3*
-X26186D3*
-X27186D3*
-X28186D3*
-X29186D3*
-X30186D3*
-X31186D3*
-X32186D3*
-X33186D3*
-X34186D3*
-X35186D3*
-X36186D3*
-X37186D3*
-X38186D3*
-G36*
-X25667Y20773D2*
-X26336D1*
-Y20104D1*
-X25667D1*
-Y20773D1*
-G37*
-D20*
-X27002Y20439D3*
-X28002D3*
-X29002D3*
-X30002D3*
-D22*
-X8750Y13438D3*
-X9262D3*
-X8750Y12938D3*
-X9262D3*
-X21001Y9813D3*
-X21513D3*
-D25*
-X22249Y9750D3*
-Y9238D3*
-X22875Y9750D3*
-Y9238D3*
-X23502Y9750D3*
-Y9238D3*
-X24127Y9750D3*
-Y9238D3*
-X24752Y9750D3*
-Y9238D3*
-X25377Y9750D3*
-Y9238D3*
-D28*
-X21689Y19501D3*
-X21886D3*
-X22083D3*
-X22279D3*
-X22476D3*
-X22673D3*
-X22870D3*
-X23067D3*
-X23264D3*
-X23461D3*
-X23657D3*
-X23854D3*
-X24051D3*
-X24248D3*
-X24445D3*
-X24642D3*
-X24838D3*
-X25035D3*
-X25232D3*
-X25429D3*
-X25626D3*
-X25823D3*
-X26020D3*
-X26216D3*
-X26413D3*
-X26610D3*
-X26807D3*
-X27004D3*
-X27201D3*
-X27398D3*
-X27594D3*
-X27791D3*
-X27988D3*
-X28185D3*
-X28382D3*
-X28579D3*
-D29*
-X29346Y18733D3*
-Y18537D3*
-Y18340D3*
-Y18143D3*
-Y17946D3*
-Y17749D3*
-Y17552D3*
-Y17355D3*
-Y17159D3*
-Y16962D3*
-Y16765D3*
-Y16568D3*
-Y16371D3*
-Y16174D3*
-Y15978D3*
-Y15781D3*
-Y15584D3*
-Y15387D3*
-Y15190D3*
-Y14993D3*
-Y14796D3*
-Y14600D3*
-Y14403D3*
-Y14206D3*
-Y14009D3*
-Y13812D3*
-Y13615D3*
-Y13418D3*
-Y13222D3*
-Y13025D3*
-Y12828D3*
-Y12631D3*
-Y12434D3*
-Y12237D3*
-Y12041D3*
-Y11844D3*
-D28*
-X28579Y11076D3*
-X28382D3*
-X28185D3*
-X27988D3*
-X27791D3*
-X27594D3*
-X27398D3*
-X27201D3*
-X27004D3*
-X26807D3*
-X26610D3*
-X26413D3*
-X26216D3*
-X26020D3*
-X25823D3*
-X25626D3*
-X25429D3*
-X25232D3*
-X25035D3*
-X24838D3*
-X24642D3*
-X24445D3*
-X24248D3*
-X24051D3*
-X23854D3*
-X23657D3*
-X23461D3*
-X23264D3*
-X23067D3*
-X22870D3*
-X22673D3*
-X22476D3*
-X22279D3*
-X22083D3*
-X21886D3*
-X21689D3*
-D29*
-X20921Y11844D3*
-Y12041D3*
-Y12237D3*
-Y12434D3*
-Y12631D3*
-Y12828D3*
-Y13025D3*
-Y13222D3*
-Y13418D3*
-Y13615D3*
-Y13812D3*
-Y14009D3*
-Y14206D3*
-Y14403D3*
-Y14600D3*
-Y14796D3*
-Y14993D3*
-Y15190D3*
-Y15387D3*
-Y15584D3*
-Y15781D3*
-Y15978D3*
-Y16174D3*
-Y16371D3*
-Y16568D3*
-Y16765D3*
-Y16962D3*
-Y17159D3*
-Y17355D3*
-Y17552D3*
-Y17749D3*
-Y17946D3*
-Y18143D3*
-Y18340D3*
-Y18537D3*
-Y18733D3*
-D34*
-X10250Y15438D3*
-X10750D3*
-X11250D3*
-X11750D3*
-X12250D3*
-X12750D3*
-X13250D3*
-X13750D3*
-X14250D3*
-X14750D3*
-X15250D3*
-X15750D3*
-X16250D3*
-X16750D3*
-Y11737D3*
-X16250D3*
-X15750D3*
-X15250D3*
-X14750D3*
-X14250D3*
-X13750D3*
-X13250D3*
-X12750D3*
-X12250D3*
-X11750D3*
-X11250D3*
-X10750D3*
-X10250D3*
-M02*
+G04 DipTrace 2.4.0.2*
+%INBottom.gbr*%
+%MOIN*%
+%ADD13C,0.0059*%
+%ADD14C,0.0157*%
+%ADD15C,0.0118*%
+%ADD16C,0.0394*%
+%ADD17C,0.025*%
+%ADD19R,0.0748X0.0748*%
+%ADD20C,0.0669*%
+%ADD21R,0.0787X0.1575*%
+%ADD22R,0.0354X0.0276*%
+%ADD23R,0.063X0.063*%
+%ADD24C,0.063*%
+%ADD25R,0.0276X0.0354*%
+%ADD26R,0.063X0.0709*%
+%ADD27R,0.0709X0.2559*%
+%ADD28R,0.0118X0.063*%
+%ADD29R,0.063X0.0118*%
+%ADD34R,0.0236X0.1181*%
+%ADD37C,0.0276*%
+%FSLAX44Y44*%
+G04*
+G70*
+G90*
+G75*
+G01*
+%LNBottom*%
+%LPD*%
+X22083Y11076D2*
+D13*
+Y10357D1*
+X22249Y10190D1*
+Y9750D1*
+X22186Y5399D2*
+Y8685D1*
+X22249Y8748D1*
+Y9238D1*
+X14438Y10313D2*
+D14*
+Y10063D1*
+X12313D1*
+Y10313D1*
+X29877Y10125D2*
+Y9875D1*
+X27752D1*
+Y10125D1*
+X30315Y18126D2*
+X32065Y16376D1*
+Y9875D1*
+X31690D1*
+X29877D1*
+X8062D2*
+Y9938D1*
+X8187Y10063D1*
+X12313D1*
+X14438D2*
+X16063D1*
+Y10313D1*
+X18501Y12563D2*
+X18751D1*
+Y12688D1*
+X16688Y13688D2*
+X17313D1*
+X18439Y12563D1*
+X18501D1*
+X18439D2*
+X19564Y11438D1*
+X16063Y10063D2*
+X17438D1*
+Y10250D1*
+Y10313D1*
+X25232Y11076D2*
+D15*
+Y11978D1*
+D14*
+X26807D1*
+X28234D1*
+X28502Y12247D1*
+Y13320D1*
+X28600Y13418D1*
+D15*
+X29346D1*
+X26807Y11076D2*
+Y11978D1*
+X23264Y11076D2*
+Y11801D1*
+D14*
+X23252Y11813D1*
+Y12563D1*
+X24648D1*
+X25232Y11978D1*
+X20921Y13222D2*
+D15*
+X22405D1*
+D14*
+X23064Y12563D1*
+X23252D1*
+X20921Y15190D2*
+D15*
+X22376D1*
+D14*
+Y14751D1*
+Y13205D1*
+X23064Y12563D1*
+X20921Y17159D2*
+D15*
+X22376D1*
+D14*
+Y15190D1*
+X22625D1*
+X22626Y15188D1*
+X25232Y19501D2*
+D15*
+Y18958D1*
+D14*
+X25502Y18689D1*
+Y18564D1*
+Y17159D1*
+X22376D1*
+X26413Y19501D2*
+D15*
+Y17159D1*
+D14*
+X25502D1*
+X29346Y14993D2*
+D15*
+X28307D1*
+D14*
+X28252Y14938D1*
+X27752D1*
+X27564Y14751D1*
+X22376D1*
+X25689Y18376D2*
+X25502Y18564D1*
+X23189Y20064D2*
+D15*
+X23264D1*
+Y19501D1*
+X32002Y10125D2*
+D14*
+X32065Y9875D1*
+X31690Y10375D2*
+Y9875D1*
+X29346Y16962D2*
+D15*
+X28604D1*
+X28377Y17188D1*
+X27752D1*
+D14*
+X27564Y17001D1*
+X26552D1*
+X26413Y17159D1*
+X14438Y9688D2*
+X12313D1*
+X9186Y5399D2*
+Y9688D1*
+Y9000D1*
+X7000D1*
+X6437Y9563D1*
+Y14813D1*
+X6750Y15126D1*
+X27752Y9500D2*
+X29877D1*
+X30315Y18564D2*
+X32377Y16501D1*
+Y9500D1*
+X36315D1*
+X38128Y7687D1*
+Y7437D1*
+X38187D1*
+X12313Y9688D2*
+X9186D1*
+X8688Y16313D2*
+X7648D1*
+Y15626D1*
+X29877Y9500D2*
+X31690D1*
+X32377D1*
+X17438D2*
+Y9688D1*
+X12313D1*
+X31690Y9500D2*
+Y9437D1*
+X14438Y9688D2*
+X17688D1*
+X17751Y9625D1*
+X32002Y9500D2*
+X32377D1*
+X23186Y5399D2*
+D16*
+X24186D1*
+X8750Y13438D2*
+D14*
+Y12938D1*
+Y14563D2*
+Y15626D1*
+Y14563D2*
+Y13438D1*
+Y12938D2*
+Y12188D1*
+X10250Y11737D2*
+X8825D1*
+X8750Y11813D1*
+Y12188D1*
+X8312Y17876D2*
+X7062Y16626D1*
+Y14563D1*
+X7648D1*
+X30315Y19001D2*
+X32690Y16626D1*
+Y14938D1*
+X34690Y12938D1*
+X35378D1*
+Y11500D1*
+X39323D1*
+X39816D1*
+X41378Y13063D1*
+X35393Y12543D2*
+Y11500D1*
+X39323Y12543D2*
+Y11500D1*
+X24752Y9238D2*
+D13*
+Y8938D1*
+X26189Y7500D1*
+Y5402D1*
+X26186Y5399D1*
+X24445Y11076D2*
+Y10370D1*
+X24752Y10063D1*
+Y9750D1*
+X25877Y7375D2*
+X24439Y8813D1*
+Y9938D1*
+X24252Y10125D1*
+Y11072D1*
+X24248Y11076D1*
+X14250Y15438D2*
+Y14564D1*
+X14313Y14501D1*
+X14250Y15438D2*
+Y16125D1*
+X14563Y16438D1*
+X17001D1*
+X17376Y16063D1*
+Y14626D1*
+X18189Y13813D1*
+X18814D1*
+X19007Y13620D1*
+X20917D1*
+X20921Y13615D1*
+X11875Y12688D2*
+Y12813D1*
+X11500Y13188D1*
+Y16251D1*
+X12063Y16813D1*
+Y17001D1*
+X11750Y11737D2*
+Y12563D1*
+X11875Y12688D1*
+X12063Y17001D2*
+X13008Y17946D1*
+X20921D1*
+X11500Y12813D2*
+X11250Y13063D1*
+Y14624D1*
+Y16313D1*
+X11688Y16751D1*
+Y17001D1*
+X11250Y15438D2*
+Y14624D1*
+X11688Y17001D2*
+X12830Y18143D1*
+X20921D1*
+X11313Y16876D2*
+X11000Y16563D1*
+Y12813D1*
+X11125Y12688D1*
+X11250Y11737D2*
+Y12563D1*
+X11125Y12688D1*
+X11313Y16876D2*
+Y17001D1*
+X12652Y18340D1*
+X20921D1*
+X11186Y5399D2*
+X11188Y7937D1*
+X14751Y14501D2*
+Y15438D1*
+X14750D1*
+Y16125D1*
+X14938Y16313D1*
+X16938D1*
+X17226Y16026D1*
+Y14588D1*
+X18126Y13688D1*
+X18751D1*
+X18939Y13501D1*
+X20064D1*
+X20146Y13418D1*
+X20921D1*
+X12186Y5399D2*
+Y6686D1*
+X13000Y7500D1*
+X14438D1*
+X15001Y8062D1*
+X19689Y12313D2*
+X20126D1*
+X20202Y12237D1*
+X20921D1*
+X23854Y19501D2*
+Y18023D1*
+X23877Y18001D1*
+X13186Y5399D2*
+Y6686D1*
+X13813Y7312D1*
+X14626D1*
+X15376Y8062D1*
+X20001Y12063D2*
+Y12041D1*
+X20921D1*
+X24051Y19501D2*
+Y18389D1*
+X24127Y18314D1*
+X24248Y19501D2*
+Y18567D1*
+X24439Y18376D1*
+Y18001D1*
+X16376Y7437D2*
+Y7500D1*
+X15938Y7937D1*
+X24445Y19501D2*
+Y18620D1*
+X24752Y18314D1*
+X24689D1*
+X22673Y19501D2*
+Y18642D1*
+X22876Y18439D1*
+Y18001D1*
+X21689Y19501D2*
+Y18376D1*
+X21626Y18314D1*
+X22083Y19501D2*
+Y18357D1*
+X22126Y18314D1*
+X23657Y19501D2*
+Y18344D1*
+X23627Y18314D1*
+X23067Y19501D2*
+Y18748D1*
+X23377Y18439D1*
+Y18001D1*
+X22476Y19501D2*
+Y18589D1*
+X22626Y18439D1*
+Y18314D1*
+X13750Y11737D2*
+Y12438D1*
+X14001Y12688D1*
+X14126D1*
+X14438Y13000D1*
+X14376D1*
+Y13250D1*
+X14001Y13626D1*
+Y14126D1*
+X13501Y14626D1*
+Y16126D1*
+X14063Y16688D1*
+X17126D1*
+X17626Y16188D1*
+Y14751D1*
+X17876Y14501D1*
+X18501D1*
+X18599Y14403D1*
+X20921D1*
+X24642Y19501D2*
+Y18736D1*
+X24939Y18439D1*
+Y18001D1*
+X25035Y19501D2*
+Y18717D1*
+X25189Y18564D1*
+Y18314D1*
+X21886Y19501D2*
+Y18073D1*
+X21876Y18063D1*
+Y18001D1*
+X22279Y19501D2*
+Y18536D1*
+X22376Y18439D1*
+Y18001D1*
+X14626Y13313D2*
+Y13813D1*
+X13751Y14688D1*
+Y15438D1*
+X13750D1*
+Y16125D1*
+X14188Y16563D1*
+X17063D1*
+X17501Y16126D1*
+Y14688D1*
+X18251Y13938D1*
+X18814D1*
+X19001Y14126D1*
+X19751D1*
+X19868Y14009D1*
+X20921D1*
+X13751Y7750D2*
+X14188D1*
+X14251Y7812D1*
+X13938Y12938D2*
+Y13375D1*
+X13751Y13563D1*
+Y14126D1*
+X13250Y14626D1*
+Y15438D1*
+Y16125D1*
+X13938Y16813D1*
+X17251D1*
+X17751Y16313D1*
+Y15063D1*
+X18001Y14813D1*
+X18523D1*
+X18586Y14876D1*
+X18934D1*
+X19014Y14796D1*
+X20921D1*
+X13438Y12938D2*
+Y13188D1*
+X12938Y13688D1*
+Y13813D1*
+X12750Y15438D2*
+Y14501D1*
+X12938Y14313D1*
+Y13813D1*
+X12750Y15438D2*
+Y16126D1*
+X13688Y17063D1*
+X17376D1*
+X18126Y16313D1*
+X18564D1*
+X18702Y16174D1*
+X20921D1*
+X12625Y14001D2*
+Y13563D1*
+X13125Y13063D1*
+Y12688D1*
+X12750Y11737D2*
+Y12313D1*
+X13125Y12688D1*
+X12625Y14001D2*
+Y14376D1*
+X12500Y14501D1*
+Y16126D1*
+X13563Y17188D1*
+X17438D1*
+X18063Y16563D1*
+X18564D1*
+X18689Y16688D1*
+X18939D1*
+X19059Y16568D1*
+X20921D1*
+X12813Y12938D2*
+X12313Y13438D1*
+Y14188D1*
+X12250Y15438D2*
+Y14251D1*
+X12313Y14188D1*
+X12250Y15438D2*
+Y16126D1*
+X13480Y17355D1*
+X20921D1*
+X12500Y12688D2*
+Y13000D1*
+X12000Y13501D1*
+Y14376D1*
+X12250Y11737D2*
+Y12438D1*
+X12500Y12688D1*
+X12000Y14376D2*
+Y16188D1*
+X13364Y17552D1*
+X20921D1*
+X12188Y12938D2*
+X11750Y13375D1*
+Y14699D1*
+Y16251D1*
+X12438Y16938D1*
+Y17001D1*
+X11750Y15438D2*
+Y14699D1*
+X12438Y16938D2*
+X13250Y17751D1*
+X20919D1*
+X20921Y17749D1*
+X19189Y13876D2*
+X19751D1*
+X19815Y13812D1*
+X20921D1*
+X20626Y8500D2*
+Y7312D1*
+X21186Y6752D1*
+Y5399D1*
+X16250Y15438D2*
+X16188D1*
+Y14876D1*
+X16126Y14813D1*
+Y13751D1*
+X16563Y13313D1*
+X17251D1*
+X19126Y11438D1*
+Y10000D1*
+X20626Y8500D1*
+X18439Y14188D2*
+Y14251D1*
+X19876D1*
+X19921Y14206D1*
+X20921D1*
+X20251Y8375D2*
+Y7754D1*
+X20186Y7690D1*
+Y5399D1*
+X15750Y15438D2*
+Y13939D1*
+X16501Y13188D1*
+X17188D1*
+X19001Y11375D1*
+Y9625D1*
+X20251Y8375D1*
+X18753Y14642D2*
+Y14600D1*
+X20921D1*
+X19186Y5399D2*
+Y7435D1*
+X19876Y8125D1*
+Y8250D1*
+X15250Y15438D2*
+Y14251D1*
+X16438Y13063D1*
+X17126D1*
+X18876Y11313D1*
+Y9250D1*
+X19876Y8250D1*
+X18439Y15063D2*
+Y15051D1*
+X19130D1*
+X19188Y14993D1*
+X20921D1*
+X18186Y5399D2*
+Y6685D1*
+X19439Y7937D1*
+Y8062D1*
+X19501Y8125D1*
+X14750Y11737D2*
+Y12437D1*
+X15251Y12938D1*
+X17063D1*
+X18751Y11250D1*
+Y8875D1*
+X19501Y8125D1*
+X18439Y15501D2*
+Y15387D1*
+X20921D1*
+X17186Y5399D2*
+Y6685D1*
+X17313Y6812D1*
+X17501D1*
+X18063Y7375D1*
+X18564D1*
+X19189Y8000D1*
+X19126D1*
+X15250Y11737D2*
+Y12437D1*
+X15626Y12813D1*
+X17001D1*
+X18626Y11188D1*
+Y8500D1*
+X19126Y8000D1*
+X18376Y15938D2*
+X18534Y15781D1*
+X20921D1*
+X16186Y5399D2*
+Y6685D1*
+X16438Y6937D1*
+X17438D1*
+X18001Y7500D1*
+X18314D1*
+X18751Y7937D1*
+X15750Y11737D2*
+Y12375D1*
+X16063Y12688D1*
+X16938D1*
+X18501Y11125D1*
+Y8187D1*
+X18751Y7937D1*
+X18814Y16438D2*
+Y16371D1*
+X20921D1*
+X15186Y5399D2*
+Y6686D1*
+X15563Y7062D1*
+X17376D1*
+X17938Y7625D1*
+X18189D1*
+X18376Y7812D1*
+Y7937D1*
+X16250Y11737D2*
+Y12375D1*
+X16438Y12563D1*
+X16876D1*
+X18376Y11063D1*
+Y7937D1*
+Y16813D2*
+X19064D1*
+X19112Y16765D1*
+X20921D1*
+X14186Y5399D2*
+Y6686D1*
+X14688Y7187D1*
+X17251D1*
+X18001Y7937D1*
+X16750Y11737D2*
+X17514D1*
+X18251Y11000D1*
+Y8250D1*
+X18001Y8000D1*
+Y7937D1*
+X22875Y9750D2*
+Y10065D1*
+X22673Y10266D1*
+Y11076D1*
+X22814Y7375D2*
+Y9238D1*
+X22875D1*
+X10750Y15438D2*
+Y16751D1*
+X12500Y18501D1*
+X20376D1*
+X20412Y18537D1*
+X20921D1*
+X10250Y15438D2*
+Y16501D1*
+X12375Y18626D1*
+X20251D1*
+X20359Y18733D1*
+X20921D1*
+X19439Y12563D2*
+X20064D1*
+X20192Y12434D1*
+X20921D1*
+X19189Y13250D2*
+Y12188D1*
+X20251Y11125D1*
+Y9750D1*
+X19189Y13250D2*
+X19626D1*
+X20049Y12828D1*
+X20921D1*
+X22870Y19501D2*
+Y18633D1*
+X23127Y18376D1*
+Y18314D1*
+X29346Y16765D2*
+D15*
+X30016D1*
+X30065Y16813D1*
+X18751Y13200D2*
+D14*
+X18677D1*
+X18439Y13438D1*
+X29346Y15387D2*
+D15*
+X29740D1*
+D14*
+X29940D1*
+Y16688D1*
+X30065Y16813D1*
+X29346Y13222D2*
+D15*
+X29719D1*
+X29940Y13000D1*
+X26610Y11076D2*
+Y11580D1*
+X26502Y11688D1*
+D14*
+X26314D1*
+X20921Y15584D2*
+D15*
+X21315D1*
+D14*
+X21543D1*
+X21564Y15563D1*
+X20921Y13025D2*
+D15*
+X21315D1*
+D14*
+X21852D1*
+X22626Y12250D1*
+X22814D1*
+X22876Y12188D1*
+X20921Y16962D2*
+D15*
+X21315D1*
+D14*
+X21478D1*
+X21564Y16876D1*
+X20921Y16962D2*
+D15*
+X20527D1*
+D14*
+X20040D1*
+X19939Y17063D1*
+X23461Y11076D2*
+D15*
+Y10682D1*
+D14*
+Y10541D1*
+X23627Y10375D1*
+X23461Y19501D2*
+D15*
+Y19960D1*
+X23564Y20064D1*
+D14*
+X25627D1*
+D15*
+X24925D1*
+X24838Y19977D1*
+D14*
+Y19501D1*
+X26610D2*
+D15*
+Y20080D1*
+D14*
+X26252Y20439D1*
+X26002D1*
+X21513Y9250D2*
+Y7988D1*
+X21939Y7562D1*
+Y7625D1*
+X29252Y11125D2*
+Y11313D1*
+X29377Y11438D1*
+X29877D1*
+X30502Y10813D1*
+Y10625D1*
+X30627Y10500D1*
+X29346Y16765D2*
+D15*
+X28238D1*
+X28127Y16876D1*
+X16376Y14126D2*
+D14*
+X17313D1*
+X18001Y13438D1*
+X18439D1*
+X29346Y13222D2*
+D15*
+X28911D1*
+X28815Y13125D1*
+X25627Y20064D2*
+D14*
+X26002Y20439D1*
+X30440Y19751D2*
+X30190D1*
+X29940Y19501D1*
+Y18001D1*
+X30065Y17876D1*
+X30440Y19751D2*
+Y19314D1*
+X32940Y16813D1*
+Y15063D1*
+X34628Y13375D1*
+X34753D1*
+X15938Y8375D2*
+X11125D1*
+X11063Y8312D1*
+X30065Y17876D2*
+X30127D1*
+X31752Y16251D1*
+X31690D1*
+X11063Y8312D2*
+X11000D1*
+X10563Y7875D1*
+Y7500D1*
+X10438Y7375D1*
+X21939Y7625D2*
+Y7875D1*
+X20939Y8875D1*
+X20564D1*
+X19501Y9938D1*
+Y10875D1*
+X17063Y10563D2*
+Y10625D1*
+X15251D1*
+X13375D1*
+X7562D1*
+X7125Y10188D1*
+Y9938D1*
+X7062Y9875D1*
+X17438Y10824D2*
+X17325D1*
+X17063Y10563D1*
+X13375Y10500D2*
+Y10625D1*
+X15251Y10500D2*
+Y10625D1*
+X24838Y11076D2*
+D15*
+Y10682D1*
+D14*
+Y10538D1*
+X25002Y10375D1*
+X25377D1*
+X27231Y8521D1*
+X31195D1*
+Y8926D1*
+X31690D1*
+X23189Y7375D2*
+D13*
+Y10125D1*
+X22870Y10444D1*
+Y11076D1*
+X25035D2*
+Y11505D1*
+X24865Y11675D1*
+X24627D1*
+X10186Y5399D2*
+Y7874D1*
+X10938Y8625D1*
+X17626D1*
+X18126Y9125D1*
+Y10000D1*
+X18001D1*
+X27004Y11076D2*
+Y10627D1*
+X26877Y10500D1*
+X27186Y5399D2*
+Y6940D1*
+X26752Y7375D1*
+X25377Y9238D2*
+Y8750D1*
+X26752Y7375D1*
+X24642Y11076D2*
+Y10423D1*
+X24980Y10084D1*
+X25225D1*
+X25377Y9933D1*
+Y9750D1*
+X24127D2*
+X24051D1*
+Y11076D1*
+X25377Y7375D2*
+X24127Y8625D1*
+Y9238D1*
+X30752Y16813D2*
+X30690Y16751D1*
+Y13063D1*
+X31614Y12139D1*
+Y11661D1*
+X29346Y17552D2*
+X30076D1*
+X30690Y16938D1*
+Y16813D1*
+X30752D1*
+X29346Y16174D2*
+X28113D1*
+X28099Y16188D1*
+X27502D1*
+X23502Y9750D2*
+Y10063D1*
+X23067Y10497D1*
+Y11076D1*
+X25186Y5399D2*
+Y7128D1*
+X23502Y8813D1*
+Y9238D1*
+X29346Y12237D2*
+X30364D1*
+X30752Y12625D1*
+X31186Y5399D2*
+Y6753D1*
+X30565Y7375D1*
+X29690D1*
+X28940Y10938D2*
+Y10813D1*
+X28752Y10625D1*
+X28627D1*
+X28565Y10688D1*
+Y11062D1*
+X28579Y11076D1*
+X29346Y17355D2*
+X28710D1*
+X28440Y17626D1*
+X27002D1*
+Y17563D1*
+X32186Y5399D2*
+Y6753D1*
+X31565Y7375D1*
+X31065D1*
+X29627Y10938D2*
+Y10688D1*
+X29440Y10500D1*
+X28440D1*
+X28377Y10563D1*
+Y11071D1*
+X28382Y11076D1*
+X29346Y17159D2*
+X28657D1*
+X28377Y17438D1*
+X27314D1*
+Y17313D1*
+X33186Y5399D2*
+Y6753D1*
+X32565Y7375D1*
+X32065D1*
+Y7993D1*
+X32770Y8698D1*
+X30190Y10625D2*
+X29940Y10375D1*
+X27127D1*
+X26939Y10188D1*
+X25939D1*
+X25439Y10688D1*
+Y11066D1*
+X25429Y11076D1*
+X29346Y16568D2*
+X27997D1*
+X27877Y16688D1*
+X27439D1*
+X29346Y12434D2*
+X30061D1*
+X30502Y12875D1*
+X34186Y5399D2*
+Y6941D1*
+X33753Y7375D1*
+X33003D1*
+X30815Y11000D2*
+X30002Y11813D1*
+X29377D1*
+X29346Y11844D1*
+Y16371D2*
+X27997D1*
+X27930Y16438D1*
+X27752D1*
+X29346Y15978D2*
+X28166D1*
+X28127Y15938D1*
+X27877D1*
+X29346Y15781D2*
+X28282D1*
+X28190Y15688D1*
+X27564D1*
+X29346Y17749D2*
+X28754D1*
+X28752Y17751D1*
+X29346Y12041D2*
+X30667D1*
+X31002Y12375D1*
+X29346Y12631D2*
+X29945D1*
+X30252Y12938D1*
+Y13250D1*
+X30377Y13375D1*
+X30440D1*
+X29346Y13615D2*
+X28367D1*
+X28190Y13438D1*
+Y13250D1*
+X29346Y14403D2*
+X28592D1*
+X28315Y14126D1*
+X27940D1*
+X29346Y14796D2*
+X28548D1*
+X28377Y14626D1*
+X28002D1*
+X29346Y15584D2*
+X28335D1*
+X28190Y15438D1*
+X27877D1*
+X29346Y15190D2*
+X28191D1*
+X28190Y15188D1*
+X27564D1*
+X28186Y5399D2*
+Y6753D1*
+X27564Y7375D1*
+X27439D1*
+X29346Y14600D2*
+X28601D1*
+X28377Y14376D1*
+X27627D1*
+X29186Y5399D2*
+Y6753D1*
+X28565Y7375D1*
+X28190D1*
+X29346Y14206D2*
+X28582D1*
+X28190Y13813D1*
+X27690D1*
+X27627Y13876D1*
+X28940Y7375D2*
+X29377Y6937D1*
+X30002D1*
+X30186Y6753D1*
+Y5399D1*
+X38186D2*
+Y6750D1*
+X37566Y7371D1*
+Y7500D1*
+X37186Y5399D2*
+Y6629D1*
+X36315Y7500D1*
+X36186Y5399D2*
+Y6754D1*
+X35440Y7500D1*
+X35186Y5399D2*
+Y6879D1*
+X34565Y7500D1*
+X25689Y12438D2*
+X27002D1*
+X28565Y14001D1*
+X29346D1*
+Y14009D1*
+X21513Y9813D2*
+Y10137D1*
+X21689Y10313D1*
+Y11076D1*
+X21001Y9813D2*
+Y9250D1*
+X14250Y11737D2*
+Y10938D1*
+X16876D1*
+X17188Y11250D1*
+X17813D1*
+X18063Y11000D1*
+Y10813D1*
+X18001Y10750D1*
+X9262Y13438D2*
+X10000D1*
+X11000Y12438D1*
+Y11063D1*
+X11125Y10938D1*
+X14250D1*
+X20814Y10438D2*
+X20939Y10313D1*
+Y9813D1*
+X21001D1*
+X13250Y11737D2*
+Y12375D1*
+X13688Y12813D1*
+Y13125D1*
+X13250Y13563D1*
+Y14313D1*
+X13000Y14563D1*
+Y16188D1*
+X13751Y16938D1*
+X17313D1*
+X18063Y16188D1*
+X18501D1*
+X18712Y15978D1*
+X20921D1*
+X10750Y11737D2*
+Y11000D1*
+X10938Y10813D1*
+X16938D1*
+X17251Y11125D1*
+X17688D1*
+X17751Y11063D1*
+Y10500D1*
+X17876Y10375D1*
+X10750Y11737D2*
+Y12500D1*
+X10313Y12938D1*
+X9262D1*
+X21886Y11076D2*
+Y10134D1*
+X21876Y10125D1*
+X28382Y19501D2*
+Y19943D1*
+X28815Y20376D1*
+X28939D1*
+X29002Y20439D1*
+X28185Y19501D2*
+Y20256D1*
+X28002Y20439D1*
+X28579Y19501D2*
+Y19828D1*
+X28690Y19939D1*
+X29502D1*
+X30002Y20439D1*
+X27988Y19501D2*
+Y19890D1*
+X27940Y19939D1*
+X27502D1*
+X27002Y20439D1*
+D37*
+X25689Y12438D3*
+X11188Y7937D3*
+X38187Y7437D3*
+X6750Y15126D3*
+X12313Y10313D3*
+X14438D3*
+X12313Y9688D3*
+X14438D3*
+X27752Y9500D3*
+Y10125D3*
+X29877D3*
+Y9500D3*
+X27439Y7375D3*
+X28190D3*
+X28940D3*
+X19876Y8250D3*
+X19439Y12563D3*
+X14751Y14501D3*
+X18001Y10750D3*
+X19189Y13250D3*
+X19689Y12313D3*
+X25689Y18376D3*
+X21876Y10125D3*
+X17876Y10375D3*
+X20814Y10438D3*
+X19189Y13876D3*
+X18439Y14188D3*
+X18753Y14642D3*
+X18439Y15063D3*
+Y15501D3*
+X18376Y15938D3*
+X11500Y12813D3*
+X11875Y12688D3*
+X12188Y12938D3*
+X12500Y12688D3*
+X12313Y14188D3*
+X13125Y12688D3*
+X13438Y12938D3*
+X13938D3*
+X14376Y13000D3*
+X14626Y13313D3*
+X20501Y10750D3*
+X13751Y13563D3*
+X12938Y13813D3*
+X12813Y12938D3*
+X11125Y12688D3*
+X12625Y14001D3*
+X12000Y14376D3*
+X12438Y17001D3*
+X12063D3*
+X11688D3*
+X11313Y16876D3*
+X16063Y10313D3*
+X17751Y9625D3*
+X16376Y7437D3*
+X15938Y7937D3*
+X18814Y16438D3*
+X32002Y9500D3*
+X34565Y7500D3*
+X35440D3*
+X36315D3*
+X37566D3*
+X18376Y16813D3*
+X23877Y18001D3*
+X24127Y18314D3*
+X14313Y14501D3*
+X26877Y10500D3*
+X28940Y10938D3*
+X13751Y7750D3*
+X14251Y7812D3*
+X20251Y9750D3*
+X15001Y14188D3*
+X8312Y17876D3*
+X20001Y12063D3*
+X19564Y11438D3*
+X16688Y13688D3*
+X29627Y10938D3*
+X30190Y10625D3*
+X24627Y11675D3*
+X30815Y11000D3*
+X31002Y12375D3*
+X30752Y12625D3*
+X30502Y12875D3*
+X30440Y13375D3*
+X28190Y13250D3*
+X18501Y12563D3*
+X26503Y8033D3*
+X26752Y7375D3*
+X29690D3*
+X31065D3*
+X32065D3*
+X33003D3*
+X21626Y18314D3*
+X22126D3*
+X22626D3*
+X27627Y13876D3*
+X27940Y14126D3*
+X27627Y14376D3*
+X28002Y14626D3*
+X27564Y15188D3*
+X27877Y15438D3*
+X18001Y10000D3*
+X15001Y8062D3*
+X15376D3*
+X24689Y18314D3*
+X24439Y18001D3*
+X27564Y15688D3*
+X27877Y15938D3*
+X24939Y18001D3*
+X25189Y18314D3*
+X27502Y16188D3*
+X27752Y16438D3*
+X27439Y16688D3*
+X23377Y18001D3*
+X23627Y18314D3*
+X23127D3*
+X8688Y16313D3*
+X27314Y17313D3*
+X32002Y10125D3*
+X27002Y17563D3*
+X31690Y14938D3*
+X10375Y18439D3*
+X28752Y17751D3*
+X29940Y13000D3*
+X26314Y11688D3*
+X22814Y7375D3*
+X23189D3*
+X25377D3*
+X25877D3*
+X22626Y15188D3*
+X21564Y15563D3*
+X21376Y10813D3*
+X22876Y12188D3*
+X19939Y17063D3*
+X21564Y16876D3*
+X23627Y10375D3*
+X43004Y8688D3*
+X31690Y16251D3*
+X36565Y12563D3*
+X38128D3*
+X19501Y10875D3*
+X23189Y20064D3*
+X30315Y18126D3*
+Y18564D3*
+Y19001D3*
+X15751Y13375D3*
+X21376Y7250D3*
+X21939Y7625D3*
+X22376Y18001D3*
+X22876D3*
+X21876D3*
+X35190Y8875D3*
+X37316Y7937D3*
+X32725Y8090D3*
+X31287Y8834D3*
+X27127Y9813D3*
+X25803Y9919D3*
+X17938Y8437D3*
+X30065Y16813D3*
+X15938Y8375D3*
+X18439Y13438D3*
+X17001Y7625D3*
+X17126Y9125D3*
+X15688Y9375D3*
+X11688D3*
+X9750Y7375D3*
+X8750D3*
+X17063Y10563D3*
+X13375Y10500D3*
+X15251D3*
+X7187Y13375D3*
+X31315Y11438D3*
+X30627Y10500D3*
+X29252Y11125D3*
+X28127Y16876D3*
+X11438Y19501D3*
+X16376Y14126D3*
+X9750Y12125D3*
+X13375Y9375D3*
+X13438Y18939D3*
+X28815Y13125D3*
+X23689Y7375D3*
+X24439D3*
+X4375Y14563D3*
+Y8688D3*
+X6500D3*
+X6562Y16688D3*
+X30440Y19751D3*
+X40316Y12875D3*
+X30065Y17876D3*
+X43004Y13313D3*
+X7937Y18063D3*
+X34753Y13375D3*
+X8750Y8312D3*
+X9625Y9375D3*
+X11063Y8312D3*
+X11688Y10500D3*
+X29002Y19376D3*
+X29752D3*
+X31752Y12688D3*
+X8250Y12813D3*
+X10438Y7375D3*
+X38628Y7250D3*
+X4375Y10313D3*
+Y12125D3*
+X43004D3*
+Y10313D3*
+X14938Y13063D3*
+X14688Y18939D3*
+X32753Y9938D3*
+X19501Y8125D3*
+X19126Y8000D3*
+X18751Y7937D3*
+X18376D3*
+X18001D3*
+X20626Y8500D3*
+X20251Y8375D3*
+X31614Y11661D3*
+X30752Y16813D3*
+X10327Y20565D2*
+D17*
+X26391D1*
+X10081Y20316D2*
+X22851D1*
+X23526D2*
+X26391D1*
+X9831Y20068D2*
+X21340D1*
+X9581Y19819D2*
+X21340D1*
+X29823D2*
+X30476D1*
+X9335Y19570D2*
+X21340D1*
+X28929D2*
+X30476D1*
+X9085Y19322D2*
+X21340D1*
+X28929D2*
+X30047D1*
+X8835Y19073D2*
+X21340D1*
+X28929D2*
+X29894D1*
+X8589Y18824D2*
+X12133D1*
+X8339Y18576D2*
+X11883D1*
+X8089Y18327D2*
+X11637D1*
+X8687Y18078D2*
+X11387D1*
+X7593Y17830D2*
+X7753D1*
+X8737D2*
+X11137D1*
+X7343Y17581D2*
+X7510D1*
+X8612D2*
+X10891D1*
+X7097Y17332D2*
+X7261D1*
+X8280D2*
+X10641D1*
+X6847Y17083D2*
+X7011D1*
+X8030D2*
+X10391D1*
+X29952D2*
+X30105D1*
+X6597Y16835D2*
+X6768D1*
+X7780D2*
+X10144D1*
+X29952D2*
+X30324D1*
+X6351Y16586D2*
+X6695D1*
+X9007D2*
+X9945D1*
+X29952D2*
+X30371D1*
+X31109D2*
+X31344D1*
+X6101Y16337D2*
+X6695D1*
+X9112D2*
+X9930D1*
+X29952D2*
+X30371D1*
+X31011D2*
+X31594D1*
+X5851Y16089D2*
+X6695D1*
+X9355D2*
+X9844D1*
+X29952D2*
+X30371D1*
+X31011D2*
+X31695D1*
+X5605Y15840D2*
+X6695D1*
+X9355D2*
+X9844D1*
+X16659D2*
+X16906D1*
+X29952D2*
+X30371D1*
+X31011D2*
+X31695D1*
+X5355Y15591D2*
+X6695D1*
+X9355D2*
+X9844D1*
+X16659D2*
+X16906D1*
+X29952D2*
+X30371D1*
+X31011D2*
+X31695D1*
+X5105Y15343D2*
+X6387D1*
+X9355D2*
+X9844D1*
+X16659D2*
+X16906D1*
+X29952D2*
+X30371D1*
+X31011D2*
+X31695D1*
+X4859Y15094D2*
+X6207D1*
+X9355D2*
+X9844D1*
+X16659D2*
+X16906D1*
+X29952D2*
+X30371D1*
+X31011D2*
+X31695D1*
+X4609Y14845D2*
+X6070D1*
+X9355D2*
+X9844D1*
+X16659D2*
+X16906D1*
+X29952D2*
+X30371D1*
+X31011D2*
+X31695D1*
+X4359Y14597D2*
+X6070D1*
+X9355D2*
+X9844D1*
+X16659D2*
+X16906D1*
+X29952D2*
+X30371D1*
+X31011D2*
+X31695D1*
+X4337Y14348D2*
+X6070D1*
+X9355D2*
+X10680D1*
+X16444D2*
+X17023D1*
+X29952D2*
+X30371D1*
+X31011D2*
+X31695D1*
+X4337Y14099D2*
+X6070D1*
+X6808D2*
+X7043D1*
+X9355D2*
+X10680D1*
+X14808D2*
+X14973D1*
+X16444D2*
+X17273D1*
+X29952D2*
+X30371D1*
+X31011D2*
+X31695D1*
+X32745D2*
+X33019D1*
+X4337Y13851D2*
+X6070D1*
+X6808D2*
+X8383D1*
+X9120D2*
+X10680D1*
+X14944D2*
+X15211D1*
+X29952D2*
+X30371D1*
+X31011D2*
+X31695D1*
+X32745D2*
+X33266D1*
+X4337Y13602D2*
+X6070D1*
+X6808D2*
+X8281D1*
+X10276D2*
+X10680D1*
+X14944D2*
+X15457D1*
+X29952D2*
+X30082D1*
+X31011D2*
+X31695D1*
+X32745D2*
+X33516D1*
+X4337Y13353D2*
+X6070D1*
+X6808D2*
+X8281D1*
+X10526D2*
+X10680D1*
+X15050D2*
+X15707D1*
+X18159D2*
+X18644D1*
+X31011D2*
+X31695D1*
+X32745D2*
+X33766D1*
+X36077D2*
+X38641D1*
+X40007D2*
+X40715D1*
+X42042D2*
+X43043D1*
+X4337Y13104D2*
+X6070D1*
+X6808D2*
+X8281D1*
+X31089D2*
+X31695D1*
+X32745D2*
+X34012D1*
+X36077D2*
+X38641D1*
+X40007D2*
+X40715D1*
+X42042D2*
+X43043D1*
+X4337Y12856D2*
+X6070D1*
+X6808D2*
+X8281D1*
+X31339D2*
+X31695D1*
+X32745D2*
+X34262D1*
+X36077D2*
+X38641D1*
+X40007D2*
+X40660D1*
+X42042D2*
+X43043D1*
+X4337Y12607D2*
+X6070D1*
+X6808D2*
+X8281D1*
+X9730D2*
+X10203D1*
+X32745D2*
+X34574D1*
+X36077D2*
+X38641D1*
+X40007D2*
+X40410D1*
+X42042D2*
+X43043D1*
+X4337Y12358D2*
+X6070D1*
+X6808D2*
+X8281D1*
+X9218D2*
+X9844D1*
+X32745D2*
+X34711D1*
+X36077D2*
+X38641D1*
+X40007D2*
+X40164D1*
+X41187D2*
+X43043D1*
+X4337Y12110D2*
+X6070D1*
+X6808D2*
+X8281D1*
+X9218D2*
+X9844D1*
+X32745D2*
+X34711D1*
+X36077D2*
+X38641D1*
+X40937D2*
+X43043D1*
+X5901Y11861D2*
+X6070D1*
+X6808D2*
+X8281D1*
+X30929D2*
+X31238D1*
+X32745D2*
+X34711D1*
+X36077D2*
+X38641D1*
+X40687D2*
+X41480D1*
+X5901Y11612D2*
+X6070D1*
+X6808D2*
+X8445D1*
+X30644D2*
+X31187D1*
+X32745D2*
+X34711D1*
+X40441D2*
+X41480D1*
+X5901Y11364D2*
+X6070D1*
+X6808D2*
+X9844D1*
+X20452D2*
+X21340D1*
+X28929D2*
+X30012D1*
+X31015D2*
+X31316D1*
+X32745D2*
+X35039D1*
+X40191D2*
+X41480D1*
+X5901Y11115D2*
+X6070D1*
+X6808D2*
+X9844D1*
+X20569D2*
+X21340D1*
+X30015D2*
+X30258D1*
+X31226D2*
+X31695D1*
+X32745D2*
+X41480D1*
+X5901Y10866D2*
+X6070D1*
+X6808D2*
+X10465D1*
+X19444D2*
+X19934D1*
+X20569D2*
+X21340D1*
+X31218D2*
+X31695D1*
+X32745D2*
+X41480D1*
+X5901Y10618D2*
+X6070D1*
+X6808D2*
+X10691D1*
+X19444D2*
+X19934D1*
+X21198D2*
+X21340D1*
+X30964D2*
+X31262D1*
+X32745D2*
+X41480D1*
+X4337Y10369D2*
+X6070D1*
+X6808D2*
+X7457D1*
+X19444D2*
+X19934D1*
+X30526D2*
+X31262D1*
+X32745D2*
+X43043D1*
+X4337Y10120D2*
+X6070D1*
+X6808D2*
+X7457D1*
+X19448D2*
+X19934D1*
+X32745D2*
+X43043D1*
+X4337Y9872D2*
+X6070D1*
+X6808D2*
+X7457D1*
+X19694D2*
+X19844D1*
+X25804D2*
+X27383D1*
+X32745D2*
+X43043D1*
+X4337Y9623D2*
+X6070D1*
+X6890D2*
+X7457D1*
+X25804D2*
+X27344D1*
+X36702D2*
+X43043D1*
+X4337Y9374D2*
+X6125D1*
+X7136D2*
+X7457D1*
+X21468D2*
+X21820D1*
+X25804D2*
+X27344D1*
+X36952D2*
+X43043D1*
+X4337Y9125D2*
+X6363D1*
+X9554D2*
+X17012D1*
+X21468D2*
+X21820D1*
+X25804D2*
+X27578D1*
+X27925D2*
+X29703D1*
+X30050D2*
+X31262D1*
+X32175D2*
+X36180D1*
+X37202D2*
+X43043D1*
+X4337Y8877D2*
+X6613D1*
+X9554D2*
+X10762D1*
+X21468D2*
+X21820D1*
+X25804D2*
+X32305D1*
+X33237D2*
+X36430D1*
+X37448D2*
+X43043D1*
+X4337Y8628D2*
+X8816D1*
+X9554D2*
+X10500D1*
+X21034D2*
+X21867D1*
+X25941D2*
+X32258D1*
+X33237D2*
+X36676D1*
+X37698D2*
+X43043D1*
+X9554Y8379D2*
+X10250D1*
+X21034D2*
+X21867D1*
+X26187D2*
+X32012D1*
+X33237D2*
+X36926D1*
+X37948D2*
+X38664D1*
+X9554Y8131D2*
+X10004D1*
+X11566D2*
+X13594D1*
+X16316D2*
+X17621D1*
+X20944D2*
+X21867D1*
+X23507D2*
+X23742D1*
+X26437D2*
+X31781D1*
+X32644D2*
+X37176D1*
+X38194D2*
+X38664D1*
+X9554Y7882D2*
+X9867D1*
+X10636D2*
+X10765D1*
+X11612D2*
+X13344D1*
+X16437D2*
+X17504D1*
+X20944D2*
+X21867D1*
+X23507D2*
+X23992D1*
+X26687D2*
+X31746D1*
+X32394D2*
+X34414D1*
+X34718D2*
+X35289D1*
+X35593D2*
+X36164D1*
+X36468D2*
+X37414D1*
+X38437D2*
+X38664D1*
+X9554Y7633D2*
+X9867D1*
+X10507D2*
+X10867D1*
+X11507D2*
+X12691D1*
+X16753D2*
+X17254D1*
+X20944D2*
+X21867D1*
+X23523D2*
+X24238D1*
+X33917D2*
+X34160D1*
+X36722D2*
+X37160D1*
+X9554Y7385D2*
+X9867D1*
+X10507D2*
+X10867D1*
+X11507D2*
+X12445D1*
+X20995D2*
+X21867D1*
+X23616D2*
+X24488D1*
+X36870D2*
+X37156D1*
+X42017Y13378D2*
+Y12424D1*
+X41224D1*
+X40059Y11257D1*
+X39956Y11187D1*
+X39816Y11157D1*
+X35378D1*
+X35256Y11179D1*
+X35149Y11244D1*
+X35073Y11342D1*
+X35037Y11464D1*
+X35043Y11424D1*
+X34927Y11491D1*
+X34734D1*
+Y12596D1*
+X34690Y12594D1*
+X34568Y12617D1*
+X34447Y12695D1*
+X32721Y14421D1*
+Y9841D1*
+X33377Y9844D1*
+X36315D1*
+X36438Y9821D1*
+X36558Y9743D1*
+X38371Y7930D1*
+X38443Y7824D1*
+X38496Y7695D1*
+X38561Y7589D1*
+X38590Y7437D1*
+X38571Y7314D1*
+X38501Y7189D1*
+X38692Y7188D1*
+X38691Y8500D1*
+X38752Y8605D1*
+X38816Y8625D1*
+X43066D1*
+Y10375D1*
+X41628D1*
+X41523Y10436D1*
+X41503Y10500D1*
+Y11938D1*
+X41565Y12043D1*
+X41628Y12063D1*
+X43066D1*
+Y13376D1*
+X42015D1*
+X40740Y12912D2*
+Y13373D1*
+X40218Y13376D1*
+X40134Y13359D1*
+X39982D1*
+Y12154D1*
+X40741Y12912D1*
+X38664Y11846D2*
+Y13362D1*
+X37884Y13359D1*
+X36052D1*
+Y11841D1*
+X37503Y11844D1*
+X38663D1*
+X7608Y10455D2*
+X8642D1*
+Y10404D1*
+X10812Y10406D1*
+X11918D1*
+X11969Y10517D1*
+X10938Y10518D1*
+X10813Y10547D1*
+X10730Y10605D1*
+X10505Y10839D1*
+X10383Y10882D1*
+X9867D1*
+Y11394D1*
+X8825D1*
+X8703Y11416D1*
+X8582Y11494D1*
+X8462Y11625D1*
+X8430Y11688D1*
+X8415Y11737D1*
+X8308Y11785D1*
+X8312Y12591D1*
+X8308Y12535D1*
+X8312Y13341D1*
+X8308Y13285D1*
+Y13841D1*
+X8405D1*
+X8406Y13942D1*
+X8170Y13948D1*
+X8228Y13944D1*
+X7068D1*
+X7062Y14219D1*
+X6940Y14242D1*
+X6831Y14309D1*
+X6862Y14284D1*
+X6781Y14313D1*
+Y9708D1*
+X7142Y9344D1*
+X7480D1*
+X7483Y10455D1*
+X7608D1*
+X9192Y12532D2*
+Y12079D1*
+X9868Y12081D1*
+X9867Y12593D1*
+X10238D1*
+X10188Y12644D1*
+X9707D1*
+X9704Y12535D1*
+X9188D1*
+X17036Y10406D2*
+Y10538D1*
+X16938Y10518D1*
+X16411D1*
+X16450Y10407D1*
+X17036Y10406D1*
+X17642Y9058D2*
+X17036D1*
+Y9343D1*
+X14645Y9344D1*
+X14568Y9307D1*
+X14446Y9285D1*
+X14322Y9302D1*
+X14235Y9344D1*
+X12520D1*
+X12443Y9307D1*
+X12320Y9285D1*
+X12197Y9302D1*
+X12109Y9344D1*
+X9528D1*
+X9530Y8188D1*
+Y7246D1*
+X9895Y7247D1*
+X9892Y7874D1*
+X9920Y7998D1*
+X9978Y8082D1*
+X10730Y8833D1*
+X10838Y8901D1*
+X10938Y8919D1*
+X17506Y8922D1*
+X17641Y9057D1*
+X18360Y13130D2*
+X18805D1*
+X18788Y13247D1*
+X18730Y13292D1*
+X18626Y13394D1*
+X18122D1*
+X18175Y13312D1*
+X18360Y13127D1*
+X32093Y9110D2*
+Y8995D1*
+X31287D1*
+Y9155D1*
+X30084Y9156D1*
+X30007Y9119D1*
+X29885Y9097D1*
+X29761Y9114D1*
+X29674Y9156D1*
+X27959D1*
+X27882Y9119D1*
+X27760Y9097D1*
+X27636Y9114D1*
+X27524Y9168D1*
+X27433Y9254D1*
+X27373Y9363D1*
+X27350Y9485D1*
+X27364Y9609D1*
+X27416Y9722D1*
+X27434Y9742D1*
+X27408Y9875D1*
+Y9918D1*
+X27373Y9988D1*
+X27355Y10083D1*
+X27247Y10079D1*
+X27148Y9979D1*
+X27040Y9912D1*
+X26939Y9893D1*
+X25939D1*
+X25815Y9922D1*
+X25880Y9900D1*
+X25779Y9817D1*
+X25776Y9308D1*
+X25779Y9055D1*
+Y8796D1*
+X25744D1*
+X26764Y7779D1*
+X26853Y7765D1*
+X26967Y7715D1*
+X27061Y7633D1*
+X27091Y7583D1*
+X27187Y7689D1*
+X27295Y7751D1*
+X27417Y7777D1*
+X27541Y7765D1*
+X27655Y7715D1*
+X27748Y7633D1*
+X27787Y7570D1*
+X27853Y7597D1*
+X27937Y7689D1*
+X28045Y7751D1*
+X28167Y7777D1*
+X28291Y7765D1*
+X28405Y7715D1*
+X28455Y7672D1*
+X28624Y7662D1*
+X28795Y7751D1*
+X28917Y7777D1*
+X29041Y7765D1*
+X29155Y7715D1*
+X29249Y7633D1*
+X29314Y7523D1*
+X29354Y7597D1*
+X29437Y7689D1*
+X29545Y7751D1*
+X29667Y7777D1*
+X29791Y7765D1*
+X29905Y7715D1*
+X29955Y7672D1*
+X30565Y7669D1*
+X30689Y7641D1*
+X30736Y7614D1*
+X30812Y7689D1*
+X30920Y7751D1*
+X31042Y7777D1*
+X31166Y7765D1*
+X31280Y7715D1*
+X31330Y7672D1*
+X31565Y7669D1*
+X31689Y7641D1*
+X31736Y7614D1*
+X31771Y7750D1*
+Y7993D1*
+X31799Y8117D1*
+X31857Y8201D1*
+X32329Y8674D1*
+X32328Y9101D1*
+X33212D1*
+Y8295D1*
+X32786D1*
+X32359Y7868D1*
+Y7669D1*
+X32565D1*
+X32689Y7641D1*
+X32624Y7662D1*
+X32750Y7689D1*
+X32858Y7751D1*
+X32980Y7777D1*
+X33104Y7765D1*
+X33218Y7715D1*
+X33268Y7672D1*
+X33753Y7669D1*
+X33877Y7641D1*
+X33961Y7583D1*
+X34187Y7363D1*
+X34163Y7485D1*
+X34177Y7608D1*
+X34229Y7722D1*
+X34313Y7814D1*
+X34421Y7876D1*
+X34542Y7902D1*
+X34666Y7890D1*
+X34781Y7840D1*
+X34874Y7758D1*
+X34938Y7651D1*
+X34967Y7514D1*
+X35038Y7485D1*
+X35052Y7608D1*
+X35104Y7722D1*
+X35188Y7814D1*
+X35296Y7876D1*
+X35418Y7902D1*
+X35541Y7890D1*
+X35656Y7840D1*
+X35749Y7758D1*
+X35813Y7651D1*
+X35842Y7514D1*
+X35913Y7485D1*
+X35928Y7608D1*
+X35979Y7722D1*
+X36063Y7814D1*
+X36171Y7876D1*
+X36293Y7902D1*
+X36417Y7890D1*
+X36531Y7840D1*
+X36624Y7758D1*
+X36689Y7651D1*
+X36718Y7514D1*
+X37040Y7192D1*
+X37309Y7190D1*
+X37247Y7253D1*
+X37187Y7363D1*
+X37163Y7485D1*
+X37178Y7608D1*
+X37229Y7722D1*
+X37313Y7814D1*
+X37421Y7876D1*
+X37448Y7882D1*
+X36175Y9154D1*
+X33565Y9156D1*
+X32210D1*
+X32133Y9119D1*
+X32091Y9112D1*
+X31412Y10817D2*
+X31721D1*
+Y11270D1*
+X31621Y11258D1*
+X31498Y11275D1*
+X31386Y11329D1*
+X31295Y11414D1*
+X31235Y11523D1*
+X31211Y11646D1*
+X31226Y11769D1*
+X31277Y11882D1*
+X31317Y11926D1*
+Y12019D1*
+X31265Y12072D1*
+X31133Y11994D1*
+X31014Y11973D1*
+X30876Y11832D1*
+X30768Y11764D1*
+X30667Y11746D1*
+X30484D1*
+X30826Y11405D1*
+X30916Y11390D1*
+X31030Y11341D1*
+X31124Y11258D1*
+X31188Y11152D1*
+X31218Y11000D1*
+X31198Y10877D1*
+X31142Y10766D1*
+X31055Y10677D1*
+X30945Y10619D1*
+X30822Y10598D1*
+X30699Y10615D1*
+X30590Y10667D1*
+X30592Y10625D1*
+X30573Y10502D1*
+X30517Y10391D1*
+X30430Y10302D1*
+X30320Y10244D1*
+X30262Y10234D1*
+X30377Y10219D1*
+X31287D1*
+Y10817D1*
+X31412D1*
+X21444Y9407D2*
+Y8847D1*
+X20830D1*
+X20935Y8758D1*
+X20999Y8652D1*
+X21029Y8500D1*
+X21010Y8377D1*
+X20954Y8266D1*
+X20918Y8230D1*
+X20923Y7432D1*
+X21130Y7225D1*
+X21895Y7222D1*
+X21892Y8274D1*
+X21893Y8704D1*
+X21902Y8796D1*
+X21847D1*
+Y9410D1*
+X21440D1*
+X9088Y16245D2*
+X9330D1*
+X9326Y15006D1*
+X9330Y15057D1*
+Y13944D1*
+X9094Y13938D1*
+Y13840D1*
+X9192Y13837D1*
+X9445Y13841D1*
+X9704D1*
+Y13735D1*
+X10000Y13733D1*
+X10124Y13704D1*
+X10208Y13646D1*
+X10708Y13146D1*
+X10706Y14582D1*
+X9867Y14583D1*
+Y16294D1*
+X9957D1*
+X9956Y16501D1*
+X9984Y16625D1*
+X10042Y16709D1*
+X12167Y18834D1*
+X12275Y18902D1*
+X12375Y18920D1*
+X20129D1*
+X20233Y19000D1*
+X20333Y19026D1*
+X20341Y19057D1*
+X21369D1*
+X21365Y19921D1*
+Y20081D1*
+X22013Y20077D1*
+X22259Y20081D1*
+X22407Y20077D1*
+X22652Y20081D1*
+X22791D1*
+X22801Y20172D1*
+X22853Y20285D1*
+X22937Y20378D1*
+X23045Y20440D1*
+X23166Y20466D1*
+X23290Y20454D1*
+X23404Y20404D1*
+X23498Y20322D1*
+X23562Y20215D1*
+X23591Y20082D1*
+X24178Y20077D1*
+X24424Y20081D1*
+X24572Y20077D1*
+X24818Y20081D1*
+X24966Y20077D1*
+X25211Y20081D1*
+X25359Y20077D1*
+X25605Y20081D1*
+X25753Y20077D1*
+X25999Y20081D1*
+X26147Y20077D1*
+X26392Y20081D1*
+X26523D1*
+X26457Y20188D1*
+X26417Y20306D1*
+X26402Y20430D1*
+X26414Y20554D1*
+X26450Y20674D1*
+X26511Y20783D1*
+X26539Y20815D1*
+X15752Y20814D1*
+X10553D1*
+X4312Y14574D1*
+Y12063D1*
+X5750D1*
+X5855Y12002D1*
+X5875Y11938D1*
+Y10500D1*
+X5813Y10395D1*
+X5750Y10375D1*
+X4312D1*
+Y8625D1*
+X8562D1*
+X8668Y8564D1*
+X8687Y8500D1*
+X8688Y7250D1*
+X8840Y7249D1*
+X8843Y8024D1*
+Y8653D1*
+X8311Y8656D1*
+X7000D1*
+X6878Y8679D1*
+X6757Y8757D1*
+X6194Y9320D1*
+X6124Y9422D1*
+X6094Y9563D1*
+Y14813D1*
+X6116Y14935D1*
+X6194Y15056D1*
+X6357Y15219D1*
+X6414Y15347D1*
+X6497Y15440D1*
+X6605Y15502D1*
+X6716Y15525D1*
+X6719Y16188D1*
+Y16626D1*
+X6741Y16748D1*
+X6819Y16869D1*
+X7921Y17971D1*
+X7976Y18098D1*
+X8060Y18190D1*
+X8168Y18252D1*
+X8290Y18278D1*
+X8414Y18266D1*
+X8528Y18216D1*
+X8621Y18134D1*
+X8686Y18027D1*
+X8715Y17876D1*
+X8696Y17753D1*
+X8640Y17642D1*
+X8553Y17553D1*
+X8443Y17495D1*
+X8408Y17489D1*
+X7569Y16647D1*
+X7648Y16657D1*
+X8480D1*
+X8543Y16689D1*
+X8665Y16715D1*
+X8789Y16703D1*
+X8903Y16654D1*
+X8997Y16572D1*
+X9061Y16465D1*
+X9090Y16313D1*
+X9080Y16248D1*
+X30501Y20111D2*
+X30405Y19995D1*
+X30304Y19921D1*
+X30190Y19870D1*
+X30068Y19843D1*
+X29944Y19842D1*
+X29841Y19863D1*
+X29710Y19730D1*
+X29602Y19663D1*
+X29502Y19644D1*
+X28904D1*
+X28903Y19054D1*
+X29766Y19057D1*
+X29916D1*
+X29927Y19110D1*
+X29979Y19223D1*
+X30062Y19315D1*
+X30170Y19377D1*
+X30292Y19403D1*
+X30416Y19391D1*
+X30505Y19352D1*
+X30502Y20107D1*
+X11571Y7814D2*
+X11515Y7703D1*
+X11480Y7667D1*
+X11482Y7246D1*
+X12324Y7242D1*
+X12792Y7708D1*
+X12900Y7776D1*
+X13000Y7794D1*
+X13348D1*
+X13363Y7858D1*
+X13414Y7972D1*
+X13498Y8064D1*
+X13606Y8126D1*
+X13728Y8152D1*
+X13852Y8140D1*
+X13962Y8092D1*
+X13998Y8126D1*
+X14106Y8188D1*
+X14228Y8214D1*
+X14352Y8202D1*
+X14466Y8153D1*
+X14560Y8071D1*
+X14574Y8047D1*
+X14597Y8075D1*
+X14613Y8171D1*
+X14665Y8284D1*
+X14709Y8333D1*
+X13126Y8331D1*
+X11289Y8327D1*
+X11403Y8278D1*
+X11497Y8196D1*
+X11561Y8089D1*
+X11590Y7937D1*
+X11571Y7814D1*
+X10895Y7667D2*
+X10869Y7691D1*
+X10809Y7800D1*
+X10785Y7922D1*
+X10800Y8046D1*
+X10821Y8092D1*
+X10481Y7749D1*
+Y7245D1*
+X10895D1*
+X10893Y7661D1*
+X14967Y14165D2*
+X14881Y14120D1*
+X14754Y14099D1*
+X14834Y14021D1*
+X14902Y13913D1*
+X14920Y13813D1*
+Y13590D1*
+X14999Y13465D1*
+X15028Y13313D1*
+X15009Y13190D1*
+X14953Y13079D1*
+X14866Y12990D1*
+X14773Y12941D1*
+X14807Y12911D1*
+X15042Y13146D1*
+X15151Y13214D1*
+X15251Y13232D1*
+X15856D1*
+X15042Y14043D1*
+X14974Y14151D1*
+X15005Y14090D1*
+X14870Y13978D1*
+X14916Y13863D1*
+X20543Y10731D2*
+X20561Y10752D1*
+X20669Y10814D1*
+X20791Y10840D1*
+X20915Y10827D1*
+X21029Y10778D1*
+X21123Y10696D1*
+X21187Y10589D1*
+X21216Y10438D1*
+X21229Y10362D1*
+X21233Y10231D1*
+X21247Y10261D1*
+X21232Y10305D1*
+X21273Y10307D1*
+X21394Y10438D1*
+Y10496D1*
+X21365D1*
+Y11523D1*
+X20501Y11520D1*
+X20341D1*
+Y11744D1*
+X20253Y11746D1*
+X20112Y11678D1*
+X20459Y11333D1*
+X20527Y11225D1*
+X20546Y11125D1*
+Y10739D1*
+X16465Y7828D2*
+X16591Y7778D1*
+X16685Y7696D1*
+X16749Y7589D1*
+X16775Y7479D1*
+X17131Y7484D1*
+X17598Y7951D1*
+X17613Y8046D1*
+X17665Y8159D1*
+X17749Y8251D1*
+X17857Y8313D1*
+X17906Y8324D1*
+X17957Y8375D1*
+Y8543D1*
+X17834Y8417D1*
+X17726Y8349D1*
+X17626Y8331D1*
+X16039Y8327D1*
+X16154Y8278D1*
+X16247Y8196D1*
+X16311Y8089D1*
+X16340Y7952D1*
+X16459Y7834D1*
+X28905Y11337D2*
+X29041Y11328D1*
+X29155Y11278D1*
+X29249Y11196D1*
+X29279Y11146D1*
+X29375Y11252D1*
+X29483Y11314D1*
+X29604Y11340D1*
+X29728Y11328D1*
+X29843Y11278D1*
+X29936Y11196D1*
+X30000Y11089D1*
+X30023Y10995D1*
+X30167Y11027D1*
+X30291Y11015D1*
+X30414Y10958D1*
+X30413Y10989D1*
+X29877Y11518D1*
+X28899Y11520D1*
+X28903Y11339D1*
+X19858Y9685D2*
+X19849Y9735D1*
+X19863Y9859D1*
+X19915Y9972D1*
+X19954Y10015D1*
+X19957Y11004D1*
+X19825Y11135D1*
+X19804Y11115D1*
+X19694Y11057D1*
+X19571Y11035D1*
+X19448Y11052D1*
+X19423Y11064D1*
+X19421Y10121D1*
+X19851Y9692D1*
+X16418Y13982D2*
+X16436Y14002D1*
+X16544Y14064D1*
+X16666Y14090D1*
+X16789Y14078D1*
+X16893Y14033D1*
+X17317Y14031D1*
+X17299Y14099D1*
+X17018Y14380D1*
+X16950Y14488D1*
+X16932Y14588D1*
+X16930Y15906D1*
+X16813Y16019D1*
+X16630D1*
+X16633Y15169D1*
+Y14583D1*
+X16418D1*
+X16420Y13989D1*
+X23573Y7252D2*
+X23563Y7219D1*
+X24684Y7217D1*
+X23484Y8414D1*
+X23483Y7653D1*
+X23562Y7526D1*
+X23592Y7375D1*
+X23573Y7252D1*
+X29925Y15120D2*
+Y14727D1*
+Y14333D1*
+Y13939D1*
+X29926Y13492D1*
+X30050Y13465D1*
+X30104Y13597D1*
+X30187Y13689D1*
+X30295Y13751D1*
+X30398Y13773D1*
+X30395Y14813D1*
+Y16629D1*
+X30374Y16676D1*
+X30350Y16798D1*
+X30357Y16856D1*
+X29951Y17258D1*
+X29924D1*
+X29922Y17228D1*
+X29926Y16983D1*
+X29922Y16835D1*
+X29926Y16638D1*
+Y16244D1*
+Y15850D1*
+Y15457D1*
+Y15260D1*
+Y14866D1*
+Y14472D1*
+Y14079D1*
+Y13685D1*
+Y13291D1*
+X29958Y13264D1*
+X29987Y13377D1*
+X30047Y13461D1*
+X29986Y13375D1*
+X29926Y13367D1*
+X29922Y13094D1*
+X29926Y13025D1*
+X29958Y13063D1*
+Y13250D1*
+X29984Y13372D1*
+X31153Y16802D2*
+X31136Y16690D1*
+X31080Y16579D1*
+X30982Y16485D1*
+X30984Y13184D1*
+X31724Y12445D1*
+X31721Y13625D1*
+Y16231D1*
+X31156Y16798D1*
+X12707Y10406D2*
+X14049Y10410D1*
+X14094Y10517D1*
+X12688Y10518D1*
+X12652Y10521D1*
+X12686Y10464D1*
+X12699Y10407D1*
+X12688Y10518D1*
+X12661D1*
+X14833Y10406D2*
+X15674Y10410D1*
+X15719Y10517D1*
+X14777Y10521D1*
+X14811Y10464D1*
+X14825Y10407D1*
+X14813Y10518D1*
+X14786D1*
+X25595Y18440D2*
+X28541D1*
+X25599Y18191D2*
+X28541D1*
+X25372Y17943D2*
+X26842D1*
+X27161D2*
+X28373D1*
+X25224Y17694D2*
+X26595D1*
+X21714Y17445D2*
+X26592D1*
+X21833Y17196D2*
+X26810D1*
+X21985Y16948D2*
+X27107D1*
+X21950Y16699D2*
+X27013D1*
+X21714Y16450D2*
+X27092D1*
+X21714Y16202D2*
+X27076D1*
+X21714Y15953D2*
+X27150D1*
+X21966Y15704D2*
+X27138D1*
+X21978Y15456D2*
+X27213D1*
+X21774Y15207D2*
+X27138D1*
+X21714Y14958D2*
+X27209D1*
+X21714Y14710D2*
+X27377D1*
+X21714Y14461D2*
+X27209D1*
+X21714Y14212D2*
+X27232D1*
+X21714Y13964D2*
+X27209D1*
+X21714Y13715D2*
+X27232D1*
+X21714Y13466D2*
+X27588D1*
+X22169Y13217D2*
+X27342D1*
+X22419Y12969D2*
+X27092D1*
+X22669Y12720D2*
+X25377D1*
+X27724D2*
+X28541D1*
+X23188Y12471D2*
+X25263D1*
+X27478D2*
+X28541D1*
+X23302Y12223D2*
+X25326D1*
+X27228D2*
+X28541D1*
+X23243Y11974D2*
+X24330D1*
+X24923D2*
+X26006D1*
+X26716D2*
+X28541D1*
+X24152Y17714D2*
+X24117Y17678D1*
+X24007Y17620D1*
+X23884Y17598D1*
+X23761Y17615D1*
+X23649Y17669D1*
+X23629Y17688D1*
+X23507Y17620D1*
+X23384Y17598D1*
+X23261Y17615D1*
+X23149Y17669D1*
+X23129Y17688D1*
+X23007Y17620D1*
+X22884Y17598D1*
+X22761Y17615D1*
+X22648Y17669D1*
+X22629Y17688D1*
+X22507Y17620D1*
+X22384Y17598D1*
+X22261Y17615D1*
+X22148Y17669D1*
+X22129Y17688D1*
+X22007Y17620D1*
+X21884Y17598D1*
+X21761Y17615D1*
+X21687Y17651D1*
+X21689Y17255D1*
+X21779Y17216D1*
+X21873Y17134D1*
+X21937Y17027D1*
+X21967Y16876D1*
+X21947Y16753D1*
+X21891Y16642D1*
+X21804Y16553D1*
+X21690Y16494D1*
+X21689Y15942D1*
+X21779Y15904D1*
+X21873Y15821D1*
+X21937Y15715D1*
+X21967Y15563D1*
+X21947Y15440D1*
+X21891Y15329D1*
+X21804Y15240D1*
+X21690Y15181D1*
+Y13368D1*
+X21852D1*
+X21974Y13346D1*
+X22095Y13268D1*
+X22766Y12597D1*
+X22978Y12578D1*
+X23092Y12528D1*
+X23186Y12446D1*
+X23250Y12339D1*
+X23279Y12188D1*
+X23260Y12065D1*
+X23204Y11954D1*
+X23125Y11873D1*
+X24279Y11875D1*
+X24374Y11989D1*
+X24482Y12051D1*
+X24604Y12078D1*
+X24728Y12065D1*
+X24842Y12016D1*
+X24898Y11967D1*
+X25035Y11916D1*
+X25081Y11875D1*
+X25958D1*
+X25978Y11910D1*
+X26062Y12002D1*
+X26170Y12064D1*
+X26292Y12090D1*
+X26416Y12078D1*
+X26526Y12030D1*
+X26624Y12009D1*
+X26730Y11944D1*
+X26785Y11874D1*
+X28565Y11875D1*
+Y12809D1*
+X28496Y12879D1*
+X28457Y12951D1*
+X28430Y12927D1*
+X28320Y12869D1*
+X28197Y12848D1*
+X28074Y12865D1*
+X27962Y12919D1*
+X27930Y12948D1*
+X27210Y12230D1*
+X27102Y12162D1*
+X27002Y12143D1*
+X25967D1*
+X25819Y12057D1*
+X25697Y12035D1*
+X25574Y12052D1*
+X25461Y12106D1*
+X25371Y12191D1*
+X25311Y12301D1*
+X25287Y12423D1*
+X25301Y12546D1*
+X25353Y12660D1*
+X25437Y12752D1*
+X25545Y12814D1*
+X25667Y12840D1*
+X25790Y12828D1*
+X25905Y12778D1*
+X25954Y12735D1*
+X26882Y12734D1*
+X27620Y13472D1*
+X27511Y13490D1*
+X27399Y13544D1*
+X27308Y13629D1*
+X27248Y13738D1*
+X27225Y13860D1*
+X27239Y13984D1*
+X27291Y14097D1*
+X27314Y14123D1*
+X27248Y14238D1*
+X27225Y14360D1*
+X27239Y14484D1*
+X27291Y14597D1*
+X27375Y14689D1*
+X27483Y14752D1*
+X27604Y14778D1*
+X27572Y14786D1*
+X27449Y14802D1*
+X27336Y14856D1*
+X27246Y14942D1*
+X27186Y15051D1*
+X27162Y15173D1*
+X27177Y15297D1*
+X27228Y15410D1*
+X27252Y15436D1*
+X27186Y15551D1*
+X27162Y15673D1*
+X27177Y15797D1*
+X27223Y15899D1*
+X27183Y15942D1*
+X27123Y16051D1*
+X27100Y16173D1*
+X27114Y16297D1*
+X27161Y16399D1*
+X27121Y16442D1*
+X27061Y16551D1*
+X27037Y16673D1*
+X27052Y16797D1*
+X27103Y16910D1*
+X27143Y16953D1*
+X27086Y16981D1*
+X26996Y17067D1*
+X26942Y17166D1*
+X26886Y17178D1*
+X26774Y17232D1*
+X26683Y17317D1*
+X26623Y17426D1*
+X26599Y17548D1*
+X26614Y17672D1*
+X26666Y17785D1*
+X26750Y17877D1*
+X26857Y17939D1*
+X26979Y17966D1*
+X27103Y17953D1*
+X27178Y17921D1*
+X28387Y17920D1*
+X28416Y17973D1*
+X28500Y18065D1*
+X28564Y18102D1*
+X28565Y18689D1*
+X25459D1*
+X25498Y18572D1*
+X25562Y18465D1*
+X25592Y18314D1*
+X25573Y18191D1*
+X25517Y18079D1*
+X25430Y17990D1*
+X25337Y17941D1*
+X25323Y17878D1*
+X25267Y17767D1*
+X25180Y17678D1*
+X25069Y17620D1*
+X24947Y17598D1*
+X24823Y17615D1*
+X24711Y17669D1*
+X24691Y17688D1*
+X24569Y17620D1*
+X24447Y17598D1*
+X24323Y17615D1*
+X24211Y17669D1*
+X24163Y17714D1*
+D19*
+X41378Y13063D3*
+D20*
+X33308D3*
+D21*
+X39323Y12543D3*
+X35393D3*
+D23*
+X8062Y9875D3*
+D24*
+X7062D3*
+D22*
+X8750Y12188D3*
+X9262D3*
+D25*
+X17438Y10313D3*
+Y10824D3*
+Y9500D3*
+Y8988D3*
+X18751Y12688D3*
+Y13200D3*
+X31690Y9437D3*
+Y8926D3*
+Y10375D3*
+Y10887D3*
+D22*
+X21001Y9250D3*
+X21513D3*
+X33282Y8698D3*
+X32770D3*
+D26*
+X8750Y15626D3*
+X7648D3*
+X8750Y14563D3*
+X7648D3*
+D27*
+X9186Y5399D3*
+X10186D3*
+X11186D3*
+X12186D3*
+X13186D3*
+X14186D3*
+X15186D3*
+X16186D3*
+X17186D3*
+X18186D3*
+X19186D3*
+X20186D3*
+X21186D3*
+X22186D3*
+X23186D3*
+X24186D3*
+X25186D3*
+X26186D3*
+X27186D3*
+X28186D3*
+X29186D3*
+X30186D3*
+X31186D3*
+X32186D3*
+X33186D3*
+X34186D3*
+X35186D3*
+X36186D3*
+X37186D3*
+X38186D3*
+G36*
+X25667Y20773D2*
+X26336D1*
+Y20104D1*
+X25667D1*
+Y20773D1*
+G37*
+D20*
+X27002Y20439D3*
+X28002D3*
+X29002D3*
+X30002D3*
+D22*
+X8750Y13438D3*
+X9262D3*
+X8750Y12938D3*
+X9262D3*
+X21001Y9813D3*
+X21513D3*
+D25*
+X22249Y9750D3*
+Y9238D3*
+X22875Y9750D3*
+Y9238D3*
+X23502Y9750D3*
+Y9238D3*
+X24127Y9750D3*
+Y9238D3*
+X24752Y9750D3*
+Y9238D3*
+X25377Y9750D3*
+Y9238D3*
+D28*
+X21689Y19501D3*
+X21886D3*
+X22083D3*
+X22279D3*
+X22476D3*
+X22673D3*
+X22870D3*
+X23067D3*
+X23264D3*
+X23461D3*
+X23657D3*
+X23854D3*
+X24051D3*
+X24248D3*
+X24445D3*
+X24642D3*
+X24838D3*
+X25035D3*
+X25232D3*
+X25429D3*
+X25626D3*
+X25823D3*
+X26020D3*
+X26216D3*
+X26413D3*
+X26610D3*
+X26807D3*
+X27004D3*
+X27201D3*
+X27398D3*
+X27594D3*
+X27791D3*
+X27988D3*
+X28185D3*
+X28382D3*
+X28579D3*
+D29*
+X29346Y18733D3*
+Y18537D3*
+Y18340D3*
+Y18143D3*
+Y17946D3*
+Y17749D3*
+Y17552D3*
+Y17355D3*
+Y17159D3*
+Y16962D3*
+Y16765D3*
+Y16568D3*
+Y16371D3*
+Y16174D3*
+Y15978D3*
+Y15781D3*
+Y15584D3*
+Y15387D3*
+Y15190D3*
+Y14993D3*
+Y14796D3*
+Y14600D3*
+Y14403D3*
+Y14206D3*
+Y14009D3*
+Y13812D3*
+Y13615D3*
+Y13418D3*
+Y13222D3*
+Y13025D3*
+Y12828D3*
+Y12631D3*
+Y12434D3*
+Y12237D3*
+Y12041D3*
+Y11844D3*
+D28*
+X28579Y11076D3*
+X28382D3*
+X28185D3*
+X27988D3*
+X27791D3*
+X27594D3*
+X27398D3*
+X27201D3*
+X27004D3*
+X26807D3*
+X26610D3*
+X26413D3*
+X26216D3*
+X26020D3*
+X25823D3*
+X25626D3*
+X25429D3*
+X25232D3*
+X25035D3*
+X24838D3*
+X24642D3*
+X24445D3*
+X24248D3*
+X24051D3*
+X23854D3*
+X23657D3*
+X23461D3*
+X23264D3*
+X23067D3*
+X22870D3*
+X22673D3*
+X22476D3*
+X22279D3*
+X22083D3*
+X21886D3*
+X21689D3*
+D29*
+X20921Y11844D3*
+Y12041D3*
+Y12237D3*
+Y12434D3*
+Y12631D3*
+Y12828D3*
+Y13025D3*
+Y13222D3*
+Y13418D3*
+Y13615D3*
+Y13812D3*
+Y14009D3*
+Y14206D3*
+Y14403D3*
+Y14600D3*
+Y14796D3*
+Y14993D3*
+Y15190D3*
+Y15387D3*
+Y15584D3*
+Y15781D3*
+Y15978D3*
+Y16174D3*
+Y16371D3*
+Y16568D3*
+Y16765D3*
+Y16962D3*
+Y17159D3*
+Y17355D3*
+Y17552D3*
+Y17749D3*
+Y17946D3*
+Y18143D3*
+Y18340D3*
+Y18537D3*
+Y18733D3*
+D34*
+X10250Y15438D3*
+X10750D3*
+X11250D3*
+X11750D3*
+X12250D3*
+X12750D3*
+X13250D3*
+X13750D3*
+X14250D3*
+X14750D3*
+X15250D3*
+X15750D3*
+X16250D3*
+X16750D3*
+Y11737D3*
+X16250D3*
+X15750D3*
+X15250D3*
+X14750D3*
+X14250D3*
+X13750D3*
+X13250D3*
+X12750D3*
+X12250D3*
+X11750D3*
+X11250D3*
+X10750D3*
+X10250D3*
+M02*
diff --git a/board/BottomMask.gbr b/board/BottomMask.gbr
index 7fd2fcf..fe72615 100644
--- a/board/BottomMask.gbr
+++ b/board/BottomMask.gbr
@@ -1,299 +1,299 @@
-G04 DipTrace 2.4.0.2*
-%INBottomMask.gbr*%
-%MOIN*%
-%ADD46R,0.0315X0.126*%
-%ADD54R,0.0709X0.0197*%
-%ADD56R,0.0197X0.0709*%
-%ADD58R,0.0787X0.2638*%
-%ADD60R,0.0709X0.0787*%
-%ADD62R,0.0354X0.0433*%
-%ADD64C,0.0709*%
-%ADD66R,0.0709X0.0709*%
-%ADD68R,0.0433X0.0354*%
-%ADD70R,0.0866X0.1654*%
-%ADD72C,0.0748*%
-%ADD74R,0.0827X0.0827*%
-%FSLAX44Y44*%
-G04*
-G70*
-G90*
-G75*
-G01*
-%LNBotMask*%
-%LPD*%
-D74*
-X41378Y13063D3*
-D72*
-X33308D3*
-D70*
-X39323Y12543D3*
-X35393D3*
-D66*
-X8062Y9875D3*
-D64*
-X7062D3*
-D68*
-X8750Y12188D3*
-X9262D3*
-D62*
-X17438Y10313D3*
-Y10824D3*
-Y9500D3*
-Y8988D3*
-X18751Y12688D3*
-Y13200D3*
-X31690Y9437D3*
-Y8926D3*
-Y10375D3*
-Y10887D3*
-D68*
-X21001Y9250D3*
-X21513D3*
-X33282Y8698D3*
-X32770D3*
-D60*
-X8750Y15626D3*
-X7648D3*
-X8750Y14563D3*
-X7648D3*
-D58*
-X9186Y5399D3*
-X10186D3*
-X11186D3*
-X12186D3*
-X13186D3*
-X14186D3*
-X15186D3*
-X16186D3*
-X17186D3*
-X18186D3*
-X19186D3*
-X20186D3*
-X21186D3*
-X22186D3*
-X23186D3*
-X24186D3*
-X25186D3*
-X26186D3*
-X27186D3*
-X28186D3*
-X29186D3*
-X30186D3*
-X31186D3*
-X32186D3*
-X33186D3*
-X34186D3*
-X35186D3*
-X36186D3*
-X37186D3*
-X38186D3*
-G36*
-X25628Y20813D2*
-X26376D1*
-Y20065D1*
-X25628D1*
-Y20813D1*
-G37*
-D72*
-X27002Y20439D3*
-X28002D3*
-X29002D3*
-X30002D3*
-D68*
-X8750Y13438D3*
-X9262D3*
-X8750Y12938D3*
-X9262D3*
-X21001Y9813D3*
-X21513D3*
-D62*
-X22249Y9750D3*
-Y9238D3*
-X22875Y9750D3*
-Y9238D3*
-X23502Y9750D3*
-Y9238D3*
-X24127Y9750D3*
-Y9238D3*
-X24752Y9750D3*
-Y9238D3*
-X25377Y9750D3*
-Y9238D3*
-D56*
-X21689Y19501D3*
-X21886D3*
-X22083D3*
-X22279D3*
-X22476D3*
-X22673D3*
-X22870D3*
-X23067D3*
-X23264D3*
-X23461D3*
-X23657D3*
-X23854D3*
-X24051D3*
-X24248D3*
-X24445D3*
-X24642D3*
-X24838D3*
-X25035D3*
-X25232D3*
-X25429D3*
-X25626D3*
-X25823D3*
-X26020D3*
-X26216D3*
-X26413D3*
-X26610D3*
-X26807D3*
-X27004D3*
-X27201D3*
-X27398D3*
-X27594D3*
-X27791D3*
-X27988D3*
-X28185D3*
-X28382D3*
-X28579D3*
-D54*
-X29346Y18733D3*
-Y18537D3*
-Y18340D3*
-Y18143D3*
-Y17946D3*
-Y17749D3*
-Y17552D3*
-Y17355D3*
-Y17159D3*
-Y16962D3*
-Y16765D3*
-Y16568D3*
-Y16371D3*
-Y16174D3*
-Y15978D3*
-Y15781D3*
-Y15584D3*
-Y15387D3*
-Y15190D3*
-Y14993D3*
-Y14796D3*
-Y14600D3*
-Y14403D3*
-Y14206D3*
-Y14009D3*
-Y13812D3*
-Y13615D3*
-Y13418D3*
-Y13222D3*
-Y13025D3*
-Y12828D3*
-Y12631D3*
-Y12434D3*
-Y12237D3*
-Y12041D3*
-Y11844D3*
-D56*
-X28579Y11076D3*
-X28382D3*
-X28185D3*
-X27988D3*
-X27791D3*
-X27594D3*
-X27398D3*
-X27201D3*
-X27004D3*
-X26807D3*
-X26610D3*
-X26413D3*
-X26216D3*
-X26020D3*
-X25823D3*
-X25626D3*
-X25429D3*
-X25232D3*
-X25035D3*
-X24838D3*
-X24642D3*
-X24445D3*
-X24248D3*
-X24051D3*
-X23854D3*
-X23657D3*
-X23461D3*
-X23264D3*
-X23067D3*
-X22870D3*
-X22673D3*
-X22476D3*
-X22279D3*
-X22083D3*
-X21886D3*
-X21689D3*
-D54*
-X20921Y11844D3*
-Y12041D3*
-Y12237D3*
-Y12434D3*
-Y12631D3*
-Y12828D3*
-Y13025D3*
-Y13222D3*
-Y13418D3*
-Y13615D3*
-Y13812D3*
-Y14009D3*
-Y14206D3*
-Y14403D3*
-Y14600D3*
-Y14796D3*
-Y14993D3*
-Y15190D3*
-Y15387D3*
-Y15584D3*
-Y15781D3*
-Y15978D3*
-Y16174D3*
-Y16371D3*
-Y16568D3*
-Y16765D3*
-Y16962D3*
-Y17159D3*
-Y17355D3*
-Y17552D3*
-Y17749D3*
-Y17946D3*
-Y18143D3*
-Y18340D3*
-Y18537D3*
-Y18733D3*
-D46*
-X10250Y15438D3*
-X10750D3*
-X11250D3*
-X11750D3*
-X12250D3*
-X12750D3*
-X13250D3*
-X13750D3*
-X14250D3*
-X14750D3*
-X15250D3*
-X15750D3*
-X16250D3*
-X16750D3*
-Y11737D3*
-X16250D3*
-X15750D3*
-X15250D3*
-X14750D3*
-X14250D3*
-X13750D3*
-X13250D3*
-X12750D3*
-X12250D3*
-X11750D3*
-X11250D3*
-X10750D3*
-X10250D3*
-M02*
+G04 DipTrace 2.4.0.2*
+%INBottomMask.gbr*%
+%MOIN*%
+%ADD46R,0.0315X0.126*%
+%ADD54R,0.0709X0.0197*%
+%ADD56R,0.0197X0.0709*%
+%ADD58R,0.0787X0.2638*%
+%ADD60R,0.0709X0.0787*%
+%ADD62R,0.0354X0.0433*%
+%ADD64C,0.0709*%
+%ADD66R,0.0709X0.0709*%
+%ADD68R,0.0433X0.0354*%
+%ADD70R,0.0866X0.1654*%
+%ADD72C,0.0748*%
+%ADD74R,0.0827X0.0827*%
+%FSLAX44Y44*%
+G04*
+G70*
+G90*
+G75*
+G01*
+%LNBotMask*%
+%LPD*%
+D74*
+X41378Y13063D3*
+D72*
+X33308D3*
+D70*
+X39323Y12543D3*
+X35393D3*
+D66*
+X8062Y9875D3*
+D64*
+X7062D3*
+D68*
+X8750Y12188D3*
+X9262D3*
+D62*
+X17438Y10313D3*
+Y10824D3*
+Y9500D3*
+Y8988D3*
+X18751Y12688D3*
+Y13200D3*
+X31690Y9437D3*
+Y8926D3*
+Y10375D3*
+Y10887D3*
+D68*
+X21001Y9250D3*
+X21513D3*
+X33282Y8698D3*
+X32770D3*
+D60*
+X8750Y15626D3*
+X7648D3*
+X8750Y14563D3*
+X7648D3*
+D58*
+X9186Y5399D3*
+X10186D3*
+X11186D3*
+X12186D3*
+X13186D3*
+X14186D3*
+X15186D3*
+X16186D3*
+X17186D3*
+X18186D3*
+X19186D3*
+X20186D3*
+X21186D3*
+X22186D3*
+X23186D3*
+X24186D3*
+X25186D3*
+X26186D3*
+X27186D3*
+X28186D3*
+X29186D3*
+X30186D3*
+X31186D3*
+X32186D3*
+X33186D3*
+X34186D3*
+X35186D3*
+X36186D3*
+X37186D3*
+X38186D3*
+G36*
+X25628Y20813D2*
+X26376D1*
+Y20065D1*
+X25628D1*
+Y20813D1*
+G37*
+D72*
+X27002Y20439D3*
+X28002D3*
+X29002D3*
+X30002D3*
+D68*
+X8750Y13438D3*
+X9262D3*
+X8750Y12938D3*
+X9262D3*
+X21001Y9813D3*
+X21513D3*
+D62*
+X22249Y9750D3*
+Y9238D3*
+X22875Y9750D3*
+Y9238D3*
+X23502Y9750D3*
+Y9238D3*
+X24127Y9750D3*
+Y9238D3*
+X24752Y9750D3*
+Y9238D3*
+X25377Y9750D3*
+Y9238D3*
+D56*
+X21689Y19501D3*
+X21886D3*
+X22083D3*
+X22279D3*
+X22476D3*
+X22673D3*
+X22870D3*
+X23067D3*
+X23264D3*
+X23461D3*
+X23657D3*
+X23854D3*
+X24051D3*
+X24248D3*
+X24445D3*
+X24642D3*
+X24838D3*
+X25035D3*
+X25232D3*
+X25429D3*
+X25626D3*
+X25823D3*
+X26020D3*
+X26216D3*
+X26413D3*
+X26610D3*
+X26807D3*
+X27004D3*
+X27201D3*
+X27398D3*
+X27594D3*
+X27791D3*
+X27988D3*
+X28185D3*
+X28382D3*
+X28579D3*
+D54*
+X29346Y18733D3*
+Y18537D3*
+Y18340D3*
+Y18143D3*
+Y17946D3*
+Y17749D3*
+Y17552D3*
+Y17355D3*
+Y17159D3*
+Y16962D3*
+Y16765D3*
+Y16568D3*
+Y16371D3*
+Y16174D3*
+Y15978D3*
+Y15781D3*
+Y15584D3*
+Y15387D3*
+Y15190D3*
+Y14993D3*
+Y14796D3*
+Y14600D3*
+Y14403D3*
+Y14206D3*
+Y14009D3*
+Y13812D3*
+Y13615D3*
+Y13418D3*
+Y13222D3*
+Y13025D3*
+Y12828D3*
+Y12631D3*
+Y12434D3*
+Y12237D3*
+Y12041D3*
+Y11844D3*
+D56*
+X28579Y11076D3*
+X28382D3*
+X28185D3*
+X27988D3*
+X27791D3*
+X27594D3*
+X27398D3*
+X27201D3*
+X27004D3*
+X26807D3*
+X26610D3*
+X26413D3*
+X26216D3*
+X26020D3*
+X25823D3*
+X25626D3*
+X25429D3*
+X25232D3*
+X25035D3*
+X24838D3*
+X24642D3*
+X24445D3*
+X24248D3*
+X24051D3*
+X23854D3*
+X23657D3*
+X23461D3*
+X23264D3*
+X23067D3*
+X22870D3*
+X22673D3*
+X22476D3*
+X22279D3*
+X22083D3*
+X21886D3*
+X21689D3*
+D54*
+X20921Y11844D3*
+Y12041D3*
+Y12237D3*
+Y12434D3*
+Y12631D3*
+Y12828D3*
+Y13025D3*
+Y13222D3*
+Y13418D3*
+Y13615D3*
+Y13812D3*
+Y14009D3*
+Y14206D3*
+Y14403D3*
+Y14600D3*
+Y14796D3*
+Y14993D3*
+Y15190D3*
+Y15387D3*
+Y15584D3*
+Y15781D3*
+Y15978D3*
+Y16174D3*
+Y16371D3*
+Y16568D3*
+Y16765D3*
+Y16962D3*
+Y17159D3*
+Y17355D3*
+Y17552D3*
+Y17749D3*
+Y17946D3*
+Y18143D3*
+Y18340D3*
+Y18537D3*
+Y18733D3*
+D46*
+X10250Y15438D3*
+X10750D3*
+X11250D3*
+X11750D3*
+X12250D3*
+X12750D3*
+X13250D3*
+X13750D3*
+X14250D3*
+X14750D3*
+X15250D3*
+X15750D3*
+X16250D3*
+X16750D3*
+Y11737D3*
+X16250D3*
+X15750D3*
+X15250D3*
+X14750D3*
+X14250D3*
+X13750D3*
+X13250D3*
+X12750D3*
+X12250D3*
+X11750D3*
+X11250D3*
+X10750D3*
+X10250D3*
+M02*
diff --git a/board/BottomSilk.gbr b/board/BottomSilk.gbr
index 245a0d3..bf61680 100644
--- a/board/BottomSilk.gbr
+++ b/board/BottomSilk.gbr
@@ -1,676 +1,676 @@
-G04 DipTrace 2.4.0.2*
-%INBottomSilk.gbr*%
-%MOIN*%
-%ADD10C,0.0098*%
-%ADD14C,0.0157*%
-%ADD18C,0.0237*%
-%ADD76C,0.0077*%
-%FSLAX44Y44*%
-G04*
-G70*
-G90*
-G75*
-G01*
-%LNBotSilk*%
-%LPD*%
-D18*
-X41991Y12812D3*
-X33347Y12505D2*
-D10*
-G03X41340Y12486I3998J552D01*
-G01*
-X8317Y15940D2*
-X8081D1*
-X8317Y15311D2*
-X8081D1*
-X8242D2*
-Y15940D1*
-X8317Y15311D2*
-Y15940D1*
-Y14878D2*
-X8081D1*
-X8317Y14248D2*
-X8081D1*
-X8242D2*
-Y14878D1*
-X8317Y14248D2*
-Y14878D1*
-X21394Y19027D2*
-X28874D1*
-Y11550D1*
-X21394D1*
-Y19027D1*
-D14*
-X21472Y19500D3*
-G36*
-X21394Y19027D2*
-X21906D1*
-X21394Y18517D1*
-Y19027D1*
-G37*
-X9918Y14848D2*
-D10*
-X17083D1*
-X9918Y12328D2*
-X17083D1*
-Y14848D2*
-Y12328D1*
-X9918Y14848D2*
-Y13903D1*
-Y13273D2*
-Y12328D1*
-Y13903D2*
-G02X9918Y13273I0J-315D01*
-G01*
-X37721Y13310D2*
-D76*
-Y12807D1*
-X37505D1*
-X37433Y12832D1*
-X37410Y12856D1*
-X37386Y12903D1*
-Y12975D1*
-X37410Y13023D1*
-X37433Y13047D1*
-X37505Y13070D1*
-X37433Y13095D1*
-X37410Y13119D1*
-X37386Y13166D1*
-Y13214D1*
-X37410Y13262D1*
-X37433Y13286D1*
-X37505Y13310D1*
-X37721D1*
-Y13070D2*
-X37505D1*
-X37231Y13214D2*
-X37183Y13238D1*
-X37111Y13309D1*
-Y12807D1*
-X9071Y11751D2*
-X9095Y11798D1*
-X9143Y11846D1*
-X9190Y11870D1*
-X9286D1*
-X9334Y11846D1*
-X9382Y11798D1*
-X9406Y11751D1*
-X9430Y11679D1*
-Y11559D1*
-X9406Y11488D1*
-X9382Y11440D1*
-X9334Y11392D1*
-X9286Y11368D1*
-X9190D1*
-X9143Y11392D1*
-X9095Y11440D1*
-X9071Y11488D1*
-X8869Y11870D2*
-X8606D1*
-X8749Y11678D1*
-X8677D1*
-X8630Y11655D1*
-X8606Y11631D1*
-X8582Y11559D1*
-Y11511D1*
-X8606Y11440D1*
-X8654Y11392D1*
-X8725Y11368D1*
-X8797D1*
-X8869Y11392D1*
-X8892Y11416D1*
-X8917Y11463D1*
-X16688Y10646D2*
-X16640Y10669D1*
-X16592Y10718D1*
-X16568Y10765D1*
-Y10861D1*
-X16592Y10909D1*
-X16640Y10956D1*
-X16688Y10981D1*
-X16760Y11004D1*
-X16880D1*
-X16951Y10981D1*
-X16999Y10956D1*
-X17047Y10909D1*
-X17071Y10861D1*
-Y10765D1*
-X17047Y10718D1*
-X16999Y10669D1*
-X16951Y10646D1*
-X17071Y10252D2*
-X16569D1*
-X16903Y10491D1*
-Y10133D1*
-X16688Y9309D2*
-X16640Y9333D1*
-X16592Y9381D1*
-X16568Y9429D1*
-Y9524D1*
-X16592Y9572D1*
-X16640Y9620D1*
-X16688Y9644D1*
-X16760Y9668D1*
-X16880D1*
-X16951Y9644D1*
-X16999Y9620D1*
-X17047Y9572D1*
-X17071Y9524D1*
-Y9429D1*
-X17047Y9381D1*
-X16999Y9333D1*
-X16951Y9309D1*
-X16569Y8868D2*
-Y9107D1*
-X16784Y9131D1*
-X16760Y9107D1*
-X16736Y9035D1*
-Y8964D1*
-X16760Y8892D1*
-X16808Y8844D1*
-X16880Y8820D1*
-X16927D1*
-X16999Y8844D1*
-X17047Y8892D1*
-X17071Y8964D1*
-Y9035D1*
-X17047Y9107D1*
-X17023Y9131D1*
-X16975Y9155D1*
-X18625Y12009D2*
-X18578Y12033D1*
-X18530Y12081D1*
-X18506Y12128D1*
-Y12224D1*
-X18530Y12272D1*
-X18578Y12320D1*
-X18625Y12344D1*
-X18697Y12368D1*
-X18817D1*
-X18889Y12344D1*
-X18937Y12320D1*
-X18984Y12272D1*
-X19008Y12224D1*
-Y12128D1*
-X18984Y12081D1*
-X18937Y12033D1*
-X18889Y12009D1*
-X19008Y11759D2*
-X18507Y11520D1*
-Y11855D1*
-X32234Y9429D2*
-X32187Y9452D1*
-X32139Y9500D1*
-X32115Y9548D1*
-Y9644D1*
-X32139Y9692D1*
-X32187Y9739D1*
-X32234Y9763D1*
-X32306Y9787D1*
-X32426D1*
-X32497Y9763D1*
-X32546Y9739D1*
-X32593Y9692D1*
-X32617Y9644D1*
-Y9548D1*
-X32593Y9500D1*
-X32546Y9452D1*
-X32497Y9429D1*
-X32282Y8963D2*
-X32354Y8987D1*
-X32402Y9035D1*
-X32426Y9107D1*
-Y9130D1*
-X32402Y9202D1*
-X32354Y9250D1*
-X32282Y9274D1*
-X32259D1*
-X32187Y9250D1*
-X32139Y9202D1*
-X32116Y9130D1*
-Y9107D1*
-X32139Y9035D1*
-X32187Y8987D1*
-X32282Y8963D1*
-X32402D1*
-X32522Y8987D1*
-X32594Y9035D1*
-X32617Y9107D1*
-Y9154D1*
-X32594Y9226D1*
-X32546Y9250D1*
-X32252Y10834D2*
-X32204Y10857D1*
-X32156Y10905D1*
-X32132Y10953D1*
-Y11049D1*
-X32156Y11097D1*
-X32204Y11144D1*
-X32252Y11168D1*
-X32324Y11192D1*
-X32444D1*
-X32515Y11168D1*
-X32563Y11144D1*
-X32611Y11097D1*
-X32635Y11049D1*
-Y10953D1*
-X32611Y10905D1*
-X32563Y10857D1*
-X32515Y10834D1*
-X32229Y10679D2*
-X32204Y10631D1*
-X32133Y10559D1*
-X32635D1*
-X32133Y10261D2*
-X32157Y10333D1*
-X32229Y10381D1*
-X32348Y10405D1*
-X32420D1*
-X32539Y10381D1*
-X32611Y10333D1*
-X32635Y10261D1*
-Y10214D1*
-X32611Y10142D1*
-X32539Y10094D1*
-X32420Y10070D1*
-X32348D1*
-X32229Y10094D1*
-X32157Y10142D1*
-X32133Y10214D1*
-Y10261D1*
-X32229Y10094D2*
-X32539Y10381D1*
-X20335Y9188D2*
-X20358Y9236D1*
-X20407Y9284D1*
-X20454Y9307D1*
-X20550D1*
-X20598Y9284D1*
-X20645Y9236D1*
-X20670Y9188D1*
-X20693Y9116D1*
-Y8996D1*
-X20670Y8925D1*
-X20645Y8877D1*
-X20598Y8829D1*
-X20550Y8805D1*
-X20454D1*
-X20407Y8829D1*
-X20358Y8877D1*
-X20335Y8925D1*
-X20180Y9211D2*
-X20132Y9236D1*
-X20060Y9307D1*
-Y8805D1*
-X19882Y9188D2*
-Y9211D1*
-X19858Y9259D1*
-X19834Y9283D1*
-X19786Y9307D1*
-X19690D1*
-X19643Y9283D1*
-X19619Y9259D1*
-X19595Y9211D1*
-Y9164D1*
-X19619Y9116D1*
-X19667Y9044D1*
-X19906Y8805D1*
-X19571D1*
-X34446Y8841D2*
-X34470Y8889D1*
-X34518Y8937D1*
-X34566Y8961D1*
-X34661D1*
-X34709Y8937D1*
-X34757Y8889D1*
-X34781Y8841D1*
-X34805Y8770D1*
-Y8650D1*
-X34781Y8578D1*
-X34757Y8530D1*
-X34709Y8483D1*
-X34661Y8458D1*
-X34566D1*
-X34518Y8483D1*
-X34470Y8530D1*
-X34446Y8578D1*
-X34292Y8865D2*
-X34244Y8889D1*
-X34172Y8960D1*
-Y8458D1*
-X33969Y8960D2*
-X33707D1*
-X33850Y8769D1*
-X33778D1*
-X33731Y8745D1*
-X33707Y8721D1*
-X33682Y8650D1*
-Y8602D1*
-X33707Y8530D1*
-X33754Y8482D1*
-X33826Y8458D1*
-X33898D1*
-X33969Y8482D1*
-X33993Y8506D1*
-X34017Y8554D1*
-X7192Y15881D2*
-Y15379D1*
-X7025D1*
-X6953Y15403D1*
-X6905Y15451D1*
-X6881Y15499D1*
-X6857Y15570D1*
-Y15690D1*
-X6881Y15762D1*
-X6905Y15810D1*
-X6953Y15858D1*
-X7025Y15881D1*
-X7192D1*
-X6703Y15785D2*
-X6655Y15810D1*
-X6583Y15881D1*
-Y15379D1*
-X7235Y14832D2*
-Y14329D1*
-X7067D1*
-X6996Y14354D1*
-X6947Y14401D1*
-X6924Y14449D1*
-X6900Y14521D1*
-Y14640D1*
-X6924Y14712D1*
-X6947Y14760D1*
-X6996Y14808D1*
-X7067Y14832D1*
-X7235D1*
-X6721Y14712D2*
-Y14736D1*
-X6697Y14784D1*
-X6674Y14807D1*
-X6626Y14831D1*
-X6530D1*
-X6482Y14807D1*
-X6459Y14784D1*
-X6434Y14736D1*
-Y14688D1*
-X6459Y14640D1*
-X6506Y14569D1*
-X6746Y14329D1*
-X6411D1*
-X8185Y13756D2*
-X7970D1*
-X7899Y13781D1*
-X7874Y13805D1*
-X7850Y13852D1*
-Y13900D1*
-X7874Y13948D1*
-X7899Y13972D1*
-X7970Y13996D1*
-X8185D1*
-Y13493D1*
-X8018Y13756D2*
-X7850Y13493D1*
-X7696Y13900D2*
-X7648Y13924D1*
-X7576Y13995D1*
-Y13493D1*
-X8293Y13006D2*
-X8078D1*
-X8006Y13031D1*
-X7982Y13054D1*
-X7958Y13102D1*
-Y13150D1*
-X7982Y13198D1*
-X8006Y13222D1*
-X8078Y13246D1*
-X8293D1*
-Y12743D1*
-X8125Y13006D2*
-X7958Y12743D1*
-X7779Y13126D2*
-Y13150D1*
-X7755Y13198D1*
-X7732Y13221D1*
-X7684Y13245D1*
-X7588D1*
-X7540Y13221D1*
-X7517Y13198D1*
-X7492Y13150D1*
-Y13102D1*
-X7517Y13054D1*
-X7564Y12983D1*
-X7804Y12743D1*
-X7469D1*
-X20619Y9818D2*
-X20404D1*
-X20332Y9843D1*
-X20308Y9866D1*
-X20284Y9914D1*
-Y9962D1*
-X20308Y10009D1*
-X20332Y10034D1*
-X20404Y10058D1*
-X20619D1*
-Y9555D1*
-X20451Y9818D2*
-X20284Y9555D1*
-X19890D2*
-Y10057D1*
-X20129Y9723D1*
-X19771D1*
-X22244Y8983D2*
-Y8768D1*
-X22220Y8696D1*
-X22196Y8672D1*
-X22148Y8648D1*
-X22100D1*
-X22053Y8672D1*
-X22028Y8696D1*
-X22005Y8768D1*
-Y8983D1*
-X22507D1*
-X22244Y8816D2*
-X22507Y8648D1*
-X22076Y8207D2*
-X22029Y8231D1*
-X22005Y8303D1*
-Y8350D1*
-X22029Y8422D1*
-X22101Y8470D1*
-X22220Y8494D1*
-X22339D1*
-X22435Y8470D1*
-X22483Y8422D1*
-X22507Y8350D1*
-Y8326D1*
-X22483Y8255D1*
-X22435Y8207D1*
-X22363Y8183D1*
-X22339D1*
-X22268Y8207D1*
-X22220Y8255D1*
-X22196Y8326D1*
-Y8350D1*
-X22220Y8422D1*
-X22268Y8470D1*
-X22339Y8494D1*
-X22869Y8969D2*
-Y8754D1*
-X22845Y8682D1*
-X22821Y8658D1*
-X22773Y8634D1*
-X22725D1*
-X22678Y8658D1*
-X22653Y8682D1*
-X22630Y8754D1*
-Y8969D1*
-X23132D1*
-X22869Y8801D2*
-X23132Y8634D1*
-Y8384D2*
-X22630Y8144D1*
-Y8479D1*
-X23496Y8968D2*
-Y8753D1*
-X23472Y8682D1*
-X23448Y8657D1*
-X23400Y8634D1*
-X23352D1*
-X23305Y8657D1*
-X23280Y8682D1*
-X23257Y8753D1*
-Y8968D1*
-X23759D1*
-X23496Y8801D2*
-X23759Y8634D1*
-X23257Y8360D2*
-X23281Y8431D1*
-X23328Y8455D1*
-X23377D1*
-X23424Y8431D1*
-X23448Y8384D1*
-X23472Y8288D1*
-X23496Y8216D1*
-X23544Y8169D1*
-X23592Y8145D1*
-X23663D1*
-X23711Y8169D1*
-X23735Y8192D1*
-X23759Y8264D1*
-Y8360D1*
-X23735Y8431D1*
-X23711Y8455D1*
-X23663Y8479D1*
-X23592D1*
-X23544Y8455D1*
-X23496Y8407D1*
-X23472Y8336D1*
-X23448Y8240D1*
-X23424Y8192D1*
-X23377Y8169D1*
-X23328D1*
-X23281Y8192D1*
-X23257Y8264D1*
-Y8360D1*
-X24121Y8957D2*
-Y8742D1*
-X24097Y8670D1*
-X24073Y8646D1*
-X24025Y8622D1*
-X23977D1*
-X23930Y8646D1*
-X23905Y8670D1*
-X23882Y8742D1*
-Y8957D1*
-X24384D1*
-X24121Y8789D2*
-X24384Y8622D1*
-X24049Y8156D2*
-X24121Y8181D1*
-X24169Y8228D1*
-X24193Y8300D1*
-Y8324D1*
-X24169Y8396D1*
-X24121Y8443D1*
-X24049Y8468D1*
-X24025D1*
-X23954Y8443D1*
-X23906Y8396D1*
-X23882Y8324D1*
-Y8300D1*
-X23906Y8228D1*
-X23954Y8181D1*
-X24049Y8156D1*
-X24169D1*
-X24288Y8181D1*
-X24360Y8228D1*
-X24384Y8300D1*
-Y8348D1*
-X24360Y8419D1*
-X24312Y8443D1*
-X24753Y8958D2*
-Y8743D1*
-X24728Y8672D1*
-X24705Y8647D1*
-X24657Y8623D1*
-X24609D1*
-X24561Y8647D1*
-X24537Y8672D1*
-X24513Y8743D1*
-Y8958D1*
-X25016D1*
-X24753Y8791D2*
-X25016Y8623D1*
-X24610Y8469D2*
-X24585Y8421D1*
-X24514Y8349D1*
-X25016D1*
-X24514Y8051D2*
-X24538Y8123D1*
-X24610Y8171D1*
-X24729Y8195D1*
-X24801D1*
-X24920Y8171D1*
-X24992Y8123D1*
-X25016Y8051D1*
-Y8003D1*
-X24992Y7932D1*
-X24920Y7884D1*
-X24801Y7860D1*
-X24729D1*
-X24610Y7884D1*
-X24538Y7932D1*
-X24514Y8003D1*
-Y8051D1*
-X24610Y7884D2*
-X24920Y8171D1*
-X25371Y8956D2*
-Y8741D1*
-X25347Y8669D1*
-X25323Y8645D1*
-X25275Y8621D1*
-X25227D1*
-X25180Y8645D1*
-X25156Y8669D1*
-X25132Y8741D1*
-Y8956D1*
-X25634D1*
-X25371Y8788D2*
-X25634Y8621D1*
-X25228Y8467D2*
-X25204Y8418D1*
-X25132Y8347D1*
-X25634D1*
-X25228Y8192D2*
-X25204Y8144D1*
-X25132Y8072D1*
-X25634D1*
-X25438Y20548D2*
-Y20190D1*
-X25415Y20118D1*
-X25367Y20070D1*
-X25295Y20046D1*
-X25247D1*
-X25175Y20070D1*
-X25127Y20118D1*
-X25103Y20190D1*
-Y20548D1*
-X24949Y20452D2*
-X24901Y20476D1*
-X24829Y20548D1*
-Y20046D1*
-X13924Y16761D2*
-Y16402D1*
-X13900Y16330D1*
-X13852Y16283D1*
-X13780Y16259D1*
-X13733D1*
-X13661Y16283D1*
-X13613Y16330D1*
-X13589Y16402D1*
-Y16761D1*
-X13196Y16259D2*
-Y16760D1*
-X13435Y16426D1*
-X13076D1*
-M02*
+G04 DipTrace 2.4.0.2*
+%INBottomSilk.gbr*%
+%MOIN*%
+%ADD10C,0.0098*%
+%ADD14C,0.0157*%
+%ADD18C,0.0237*%
+%ADD76C,0.0077*%
+%FSLAX44Y44*%
+G04*
+G70*
+G90*
+G75*
+G01*
+%LNBotSilk*%
+%LPD*%
+D18*
+X41991Y12812D3*
+X33347Y12505D2*
+D10*
+G03X41340Y12486I3998J552D01*
+G01*
+X8317Y15940D2*
+X8081D1*
+X8317Y15311D2*
+X8081D1*
+X8242D2*
+Y15940D1*
+X8317Y15311D2*
+Y15940D1*
+Y14878D2*
+X8081D1*
+X8317Y14248D2*
+X8081D1*
+X8242D2*
+Y14878D1*
+X8317Y14248D2*
+Y14878D1*
+X21394Y19027D2*
+X28874D1*
+Y11550D1*
+X21394D1*
+Y19027D1*
+D14*
+X21472Y19500D3*
+G36*
+X21394Y19027D2*
+X21906D1*
+X21394Y18517D1*
+Y19027D1*
+G37*
+X9918Y14848D2*
+D10*
+X17083D1*
+X9918Y12328D2*
+X17083D1*
+Y14848D2*
+Y12328D1*
+X9918Y14848D2*
+Y13903D1*
+Y13273D2*
+Y12328D1*
+Y13903D2*
+G02X9918Y13273I0J-315D01*
+G01*
+X37721Y13310D2*
+D76*
+Y12807D1*
+X37505D1*
+X37433Y12832D1*
+X37410Y12856D1*
+X37386Y12903D1*
+Y12975D1*
+X37410Y13023D1*
+X37433Y13047D1*
+X37505Y13070D1*
+X37433Y13095D1*
+X37410Y13119D1*
+X37386Y13166D1*
+Y13214D1*
+X37410Y13262D1*
+X37433Y13286D1*
+X37505Y13310D1*
+X37721D1*
+Y13070D2*
+X37505D1*
+X37231Y13214D2*
+X37183Y13238D1*
+X37111Y13309D1*
+Y12807D1*
+X9071Y11751D2*
+X9095Y11798D1*
+X9143Y11846D1*
+X9190Y11870D1*
+X9286D1*
+X9334Y11846D1*
+X9382Y11798D1*
+X9406Y11751D1*
+X9430Y11679D1*
+Y11559D1*
+X9406Y11488D1*
+X9382Y11440D1*
+X9334Y11392D1*
+X9286Y11368D1*
+X9190D1*
+X9143Y11392D1*
+X9095Y11440D1*
+X9071Y11488D1*
+X8869Y11870D2*
+X8606D1*
+X8749Y11678D1*
+X8677D1*
+X8630Y11655D1*
+X8606Y11631D1*
+X8582Y11559D1*
+Y11511D1*
+X8606Y11440D1*
+X8654Y11392D1*
+X8725Y11368D1*
+X8797D1*
+X8869Y11392D1*
+X8892Y11416D1*
+X8917Y11463D1*
+X16688Y10646D2*
+X16640Y10669D1*
+X16592Y10718D1*
+X16568Y10765D1*
+Y10861D1*
+X16592Y10909D1*
+X16640Y10956D1*
+X16688Y10981D1*
+X16760Y11004D1*
+X16880D1*
+X16951Y10981D1*
+X16999Y10956D1*
+X17047Y10909D1*
+X17071Y10861D1*
+Y10765D1*
+X17047Y10718D1*
+X16999Y10669D1*
+X16951Y10646D1*
+X17071Y10252D2*
+X16569D1*
+X16903Y10491D1*
+Y10133D1*
+X16688Y9309D2*
+X16640Y9333D1*
+X16592Y9381D1*
+X16568Y9429D1*
+Y9524D1*
+X16592Y9572D1*
+X16640Y9620D1*
+X16688Y9644D1*
+X16760Y9668D1*
+X16880D1*
+X16951Y9644D1*
+X16999Y9620D1*
+X17047Y9572D1*
+X17071Y9524D1*
+Y9429D1*
+X17047Y9381D1*
+X16999Y9333D1*
+X16951Y9309D1*
+X16569Y8868D2*
+Y9107D1*
+X16784Y9131D1*
+X16760Y9107D1*
+X16736Y9035D1*
+Y8964D1*
+X16760Y8892D1*
+X16808Y8844D1*
+X16880Y8820D1*
+X16927D1*
+X16999Y8844D1*
+X17047Y8892D1*
+X17071Y8964D1*
+Y9035D1*
+X17047Y9107D1*
+X17023Y9131D1*
+X16975Y9155D1*
+X18625Y12009D2*
+X18578Y12033D1*
+X18530Y12081D1*
+X18506Y12128D1*
+Y12224D1*
+X18530Y12272D1*
+X18578Y12320D1*
+X18625Y12344D1*
+X18697Y12368D1*
+X18817D1*
+X18889Y12344D1*
+X18937Y12320D1*
+X18984Y12272D1*
+X19008Y12224D1*
+Y12128D1*
+X18984Y12081D1*
+X18937Y12033D1*
+X18889Y12009D1*
+X19008Y11759D2*
+X18507Y11520D1*
+Y11855D1*
+X32234Y9429D2*
+X32187Y9452D1*
+X32139Y9500D1*
+X32115Y9548D1*
+Y9644D1*
+X32139Y9692D1*
+X32187Y9739D1*
+X32234Y9763D1*
+X32306Y9787D1*
+X32426D1*
+X32497Y9763D1*
+X32546Y9739D1*
+X32593Y9692D1*
+X32617Y9644D1*
+Y9548D1*
+X32593Y9500D1*
+X32546Y9452D1*
+X32497Y9429D1*
+X32282Y8963D2*
+X32354Y8987D1*
+X32402Y9035D1*
+X32426Y9107D1*
+Y9130D1*
+X32402Y9202D1*
+X32354Y9250D1*
+X32282Y9274D1*
+X32259D1*
+X32187Y9250D1*
+X32139Y9202D1*
+X32116Y9130D1*
+Y9107D1*
+X32139Y9035D1*
+X32187Y8987D1*
+X32282Y8963D1*
+X32402D1*
+X32522Y8987D1*
+X32594Y9035D1*
+X32617Y9107D1*
+Y9154D1*
+X32594Y9226D1*
+X32546Y9250D1*
+X32252Y10834D2*
+X32204Y10857D1*
+X32156Y10905D1*
+X32132Y10953D1*
+Y11049D1*
+X32156Y11097D1*
+X32204Y11144D1*
+X32252Y11168D1*
+X32324Y11192D1*
+X32444D1*
+X32515Y11168D1*
+X32563Y11144D1*
+X32611Y11097D1*
+X32635Y11049D1*
+Y10953D1*
+X32611Y10905D1*
+X32563Y10857D1*
+X32515Y10834D1*
+X32229Y10679D2*
+X32204Y10631D1*
+X32133Y10559D1*
+X32635D1*
+X32133Y10261D2*
+X32157Y10333D1*
+X32229Y10381D1*
+X32348Y10405D1*
+X32420D1*
+X32539Y10381D1*
+X32611Y10333D1*
+X32635Y10261D1*
+Y10214D1*
+X32611Y10142D1*
+X32539Y10094D1*
+X32420Y10070D1*
+X32348D1*
+X32229Y10094D1*
+X32157Y10142D1*
+X32133Y10214D1*
+Y10261D1*
+X32229Y10094D2*
+X32539Y10381D1*
+X20335Y9188D2*
+X20358Y9236D1*
+X20407Y9284D1*
+X20454Y9307D1*
+X20550D1*
+X20598Y9284D1*
+X20645Y9236D1*
+X20670Y9188D1*
+X20693Y9116D1*
+Y8996D1*
+X20670Y8925D1*
+X20645Y8877D1*
+X20598Y8829D1*
+X20550Y8805D1*
+X20454D1*
+X20407Y8829D1*
+X20358Y8877D1*
+X20335Y8925D1*
+X20180Y9211D2*
+X20132Y9236D1*
+X20060Y9307D1*
+Y8805D1*
+X19882Y9188D2*
+Y9211D1*
+X19858Y9259D1*
+X19834Y9283D1*
+X19786Y9307D1*
+X19690D1*
+X19643Y9283D1*
+X19619Y9259D1*
+X19595Y9211D1*
+Y9164D1*
+X19619Y9116D1*
+X19667Y9044D1*
+X19906Y8805D1*
+X19571D1*
+X34446Y8841D2*
+X34470Y8889D1*
+X34518Y8937D1*
+X34566Y8961D1*
+X34661D1*
+X34709Y8937D1*
+X34757Y8889D1*
+X34781Y8841D1*
+X34805Y8770D1*
+Y8650D1*
+X34781Y8578D1*
+X34757Y8530D1*
+X34709Y8483D1*
+X34661Y8458D1*
+X34566D1*
+X34518Y8483D1*
+X34470Y8530D1*
+X34446Y8578D1*
+X34292Y8865D2*
+X34244Y8889D1*
+X34172Y8960D1*
+Y8458D1*
+X33969Y8960D2*
+X33707D1*
+X33850Y8769D1*
+X33778D1*
+X33731Y8745D1*
+X33707Y8721D1*
+X33682Y8650D1*
+Y8602D1*
+X33707Y8530D1*
+X33754Y8482D1*
+X33826Y8458D1*
+X33898D1*
+X33969Y8482D1*
+X33993Y8506D1*
+X34017Y8554D1*
+X7192Y15881D2*
+Y15379D1*
+X7025D1*
+X6953Y15403D1*
+X6905Y15451D1*
+X6881Y15499D1*
+X6857Y15570D1*
+Y15690D1*
+X6881Y15762D1*
+X6905Y15810D1*
+X6953Y15858D1*
+X7025Y15881D1*
+X7192D1*
+X6703Y15785D2*
+X6655Y15810D1*
+X6583Y15881D1*
+Y15379D1*
+X7235Y14832D2*
+Y14329D1*
+X7067D1*
+X6996Y14354D1*
+X6947Y14401D1*
+X6924Y14449D1*
+X6900Y14521D1*
+Y14640D1*
+X6924Y14712D1*
+X6947Y14760D1*
+X6996Y14808D1*
+X7067Y14832D1*
+X7235D1*
+X6721Y14712D2*
+Y14736D1*
+X6697Y14784D1*
+X6674Y14807D1*
+X6626Y14831D1*
+X6530D1*
+X6482Y14807D1*
+X6459Y14784D1*
+X6434Y14736D1*
+Y14688D1*
+X6459Y14640D1*
+X6506Y14569D1*
+X6746Y14329D1*
+X6411D1*
+X8185Y13756D2*
+X7970D1*
+X7899Y13781D1*
+X7874Y13805D1*
+X7850Y13852D1*
+Y13900D1*
+X7874Y13948D1*
+X7899Y13972D1*
+X7970Y13996D1*
+X8185D1*
+Y13493D1*
+X8018Y13756D2*
+X7850Y13493D1*
+X7696Y13900D2*
+X7648Y13924D1*
+X7576Y13995D1*
+Y13493D1*
+X8293Y13006D2*
+X8078D1*
+X8006Y13031D1*
+X7982Y13054D1*
+X7958Y13102D1*
+Y13150D1*
+X7982Y13198D1*
+X8006Y13222D1*
+X8078Y13246D1*
+X8293D1*
+Y12743D1*
+X8125Y13006D2*
+X7958Y12743D1*
+X7779Y13126D2*
+Y13150D1*
+X7755Y13198D1*
+X7732Y13221D1*
+X7684Y13245D1*
+X7588D1*
+X7540Y13221D1*
+X7517Y13198D1*
+X7492Y13150D1*
+Y13102D1*
+X7517Y13054D1*
+X7564Y12983D1*
+X7804Y12743D1*
+X7469D1*
+X20619Y9818D2*
+X20404D1*
+X20332Y9843D1*
+X20308Y9866D1*
+X20284Y9914D1*
+Y9962D1*
+X20308Y10009D1*
+X20332Y10034D1*
+X20404Y10058D1*
+X20619D1*
+Y9555D1*
+X20451Y9818D2*
+X20284Y9555D1*
+X19890D2*
+Y10057D1*
+X20129Y9723D1*
+X19771D1*
+X22244Y8983D2*
+Y8768D1*
+X22220Y8696D1*
+X22196Y8672D1*
+X22148Y8648D1*
+X22100D1*
+X22053Y8672D1*
+X22028Y8696D1*
+X22005Y8768D1*
+Y8983D1*
+X22507D1*
+X22244Y8816D2*
+X22507Y8648D1*
+X22076Y8207D2*
+X22029Y8231D1*
+X22005Y8303D1*
+Y8350D1*
+X22029Y8422D1*
+X22101Y8470D1*
+X22220Y8494D1*
+X22339D1*
+X22435Y8470D1*
+X22483Y8422D1*
+X22507Y8350D1*
+Y8326D1*
+X22483Y8255D1*
+X22435Y8207D1*
+X22363Y8183D1*
+X22339D1*
+X22268Y8207D1*
+X22220Y8255D1*
+X22196Y8326D1*
+Y8350D1*
+X22220Y8422D1*
+X22268Y8470D1*
+X22339Y8494D1*
+X22869Y8969D2*
+Y8754D1*
+X22845Y8682D1*
+X22821Y8658D1*
+X22773Y8634D1*
+X22725D1*
+X22678Y8658D1*
+X22653Y8682D1*
+X22630Y8754D1*
+Y8969D1*
+X23132D1*
+X22869Y8801D2*
+X23132Y8634D1*
+Y8384D2*
+X22630Y8144D1*
+Y8479D1*
+X23496Y8968D2*
+Y8753D1*
+X23472Y8682D1*
+X23448Y8657D1*
+X23400Y8634D1*
+X23352D1*
+X23305Y8657D1*
+X23280Y8682D1*
+X23257Y8753D1*
+Y8968D1*
+X23759D1*
+X23496Y8801D2*
+X23759Y8634D1*
+X23257Y8360D2*
+X23281Y8431D1*
+X23328Y8455D1*
+X23377D1*
+X23424Y8431D1*
+X23448Y8384D1*
+X23472Y8288D1*
+X23496Y8216D1*
+X23544Y8169D1*
+X23592Y8145D1*
+X23663D1*
+X23711Y8169D1*
+X23735Y8192D1*
+X23759Y8264D1*
+Y8360D1*
+X23735Y8431D1*
+X23711Y8455D1*
+X23663Y8479D1*
+X23592D1*
+X23544Y8455D1*
+X23496Y8407D1*
+X23472Y8336D1*
+X23448Y8240D1*
+X23424Y8192D1*
+X23377Y8169D1*
+X23328D1*
+X23281Y8192D1*
+X23257Y8264D1*
+Y8360D1*
+X24121Y8957D2*
+Y8742D1*
+X24097Y8670D1*
+X24073Y8646D1*
+X24025Y8622D1*
+X23977D1*
+X23930Y8646D1*
+X23905Y8670D1*
+X23882Y8742D1*
+Y8957D1*
+X24384D1*
+X24121Y8789D2*
+X24384Y8622D1*
+X24049Y8156D2*
+X24121Y8181D1*
+X24169Y8228D1*
+X24193Y8300D1*
+Y8324D1*
+X24169Y8396D1*
+X24121Y8443D1*
+X24049Y8468D1*
+X24025D1*
+X23954Y8443D1*
+X23906Y8396D1*
+X23882Y8324D1*
+Y8300D1*
+X23906Y8228D1*
+X23954Y8181D1*
+X24049Y8156D1*
+X24169D1*
+X24288Y8181D1*
+X24360Y8228D1*
+X24384Y8300D1*
+Y8348D1*
+X24360Y8419D1*
+X24312Y8443D1*
+X24753Y8958D2*
+Y8743D1*
+X24728Y8672D1*
+X24705Y8647D1*
+X24657Y8623D1*
+X24609D1*
+X24561Y8647D1*
+X24537Y8672D1*
+X24513Y8743D1*
+Y8958D1*
+X25016D1*
+X24753Y8791D2*
+X25016Y8623D1*
+X24610Y8469D2*
+X24585Y8421D1*
+X24514Y8349D1*
+X25016D1*
+X24514Y8051D2*
+X24538Y8123D1*
+X24610Y8171D1*
+X24729Y8195D1*
+X24801D1*
+X24920Y8171D1*
+X24992Y8123D1*
+X25016Y8051D1*
+Y8003D1*
+X24992Y7932D1*
+X24920Y7884D1*
+X24801Y7860D1*
+X24729D1*
+X24610Y7884D1*
+X24538Y7932D1*
+X24514Y8003D1*
+Y8051D1*
+X24610Y7884D2*
+X24920Y8171D1*
+X25371Y8956D2*
+Y8741D1*
+X25347Y8669D1*
+X25323Y8645D1*
+X25275Y8621D1*
+X25227D1*
+X25180Y8645D1*
+X25156Y8669D1*
+X25132Y8741D1*
+Y8956D1*
+X25634D1*
+X25371Y8788D2*
+X25634Y8621D1*
+X25228Y8467D2*
+X25204Y8418D1*
+X25132Y8347D1*
+X25634D1*
+X25228Y8192D2*
+X25204Y8144D1*
+X25132Y8072D1*
+X25634D1*
+X25438Y20548D2*
+Y20190D1*
+X25415Y20118D1*
+X25367Y20070D1*
+X25295Y20046D1*
+X25247D1*
+X25175Y20070D1*
+X25127Y20118D1*
+X25103Y20190D1*
+Y20548D1*
+X24949Y20452D2*
+X24901Y20476D1*
+X24829Y20548D1*
+Y20046D1*
+X13924Y16761D2*
+Y16402D1*
+X13900Y16330D1*
+X13852Y16283D1*
+X13780Y16259D1*
+X13733D1*
+X13661Y16283D1*
+X13613Y16330D1*
+X13589Y16402D1*
+Y16761D1*
+X13196Y16259D2*
+Y16760D1*
+X13435Y16426D1*
+X13076D1*
+M02*
diff --git a/board/Through.drl b/board/Through.drl
index 1d61700..10aa386 100644
--- a/board/Through.drl
+++ b/board/Through.drl
@@ -1,239 +1,239 @@
-M48
-INCH
-T01C0.0118
-T02C0.0354
-T03C0.0433
-%
-T01
-X+011188Y+007937
-X+011063Y+008312
-X+010438Y+007375
-X+009750Y+007375
-X+008750Y+007375
-X+008750Y+008312
-X+009625Y+009375
-X+011688Y+009375
-X+012313Y+009688
-X+012313Y+010313
-X+011688Y+010500
-X+013375Y+010500
-X+014438Y+010313
-X+014438Y+009688
-X+013375Y+009375
-X+013751Y+007750
-X+014251Y+007812
-X+015001Y+008062
-X+015376Y+008062
-X+015938Y+007937
-X+015938Y+008375
-X+015688Y+009375
-X+016063Y+010313
-X+015251Y+010500
-X+017063Y+010563
-X+017876Y+010375
-X+018001Y+010750
-X+018001Y+010000
-X+017751Y+009625
-X+017126Y+009125
-X+017938Y+008437
-X+018001Y+007937
-X+018376Y+007937
-X+018751Y+007937
-X+019126Y+008000
-X+019501Y+008125
-X+019876Y+008250
-X+020251Y+008375
-X+020626Y+008500
-X+020251Y+009750
-X+020814Y+010438
-X+020501Y+010750
-X+021376Y+010813
-X+021876Y+010125
-X+023627Y+010375
-X+024627Y+011675
-X+025689Y+012438
-X+026314Y+011688
-X+026877Y+010500
-X+027127Y+009813
-X+027752Y+009500
-X+027752Y+010125
-X+028940Y+010938
-X+029252Y+011125
-X+029627Y+010938
-X+030190Y+010625
-X+030627Y+010500
-X+030815Y+011000
-X+031315Y+011438
-X+031614Y+011661
-X+031002Y+012375
-X+030752Y+012625
-X+030502Y+012875
-X+030440Y+013375
-X+029940Y+013000
-X+028815Y+013125
-X+028190Y+013250
-X+027627Y+013876
-X+027940Y+014126
-X+027627Y+014376
-X+028002Y+014626
-X+027564Y+015188
-X+027877Y+015438
-X+027564Y+015688
-X+027877Y+015938
-X+027502Y+016188
-X+027752Y+016438
-X+027439Y+016688
-X+027314Y+017313
-X+027002Y+017563
-X+028127Y+016876
-X+028752Y+017751
-X+030065Y+017876
-X+030315Y+018126
-X+030315Y+018564
-X+030315Y+019001
-X+029752Y+019376
-X+029002Y+019376
-X+030440Y+019751
-X+030752Y+016813
-X+030065Y+016813
-X+031690Y+016251
-X+031690Y+014938
-X+031752Y+012688
-X+032002Y+010125
-X+032002Y+009500
-X+032753Y+009938
-X+031287Y+008834
-X+031065Y+007375
-X+032065Y+007375
-X+033003Y+007375
-X+032725Y+008090
-X+034565Y+007500
-X+035440Y+007500
-X+036315Y+007500
-X+037316Y+007937
-X+037566Y+007500
-X+038187Y+007437
-X+038628Y+007250
-X+035190Y+008875
-X+036565Y+012563
-X+038128Y+012563
-X+040316Y+012875
-X+043004Y+013313
-X+043004Y+012125
-X+043004Y+010313
-X+043004Y+008688
-X+034753Y+013375
-X+029877Y+010125
-X+029877Y+009500
-X+029690Y+007375
-X+028940Y+007375
-X+028190Y+007375
-X+027439Y+007375
-X+026752Y+007375
-X+026503Y+008033
-X+025877Y+007375
-X+025377Y+007375
-X+024439Y+007375
-X+023689Y+007375
-X+023189Y+007375
-X+022814Y+007375
-X+021939Y+007625
-X+021376Y+007250
-X+019501Y+010875
-X+019564Y+011438
-X+020001Y+012063
-X+019689Y+012313
-X+019439Y+012563
-X+019189Y+013250
-X+019189Y+013876
-X+018439Y+014188
-X+018753Y+014642
-X+018439Y+015063
-X+018439Y+015501
-X+018376Y+015938
-X+018814Y+016438
-X+018376Y+016813
-X+019939Y+017063
-X+021564Y+016876
-X+021876Y+018001
-X+022126Y+018314
-X+022376Y+018001
-X+022626Y+018314
-X+022876Y+018001
-X+023127Y+018314
-X+023377Y+018001
-X+023627Y+018314
-X+023877Y+018001
-X+024127Y+018314
-X+024439Y+018001
-X+024689Y+018314
-X+024939Y+018001
-X+025189Y+018314
-X+025689Y+018376
-X+023189Y+020064
-X+021626Y+018314
-X+021564Y+015563
-X+022626Y+015188
-X+022876Y+012188
-X+025803Y+009919
-X+018501Y+012563
-X+018439Y+013438
-X+016688Y+013688
-X+016376Y+014126
-X+015751Y+013375
-X+014938Y+013063
-X+014626Y+013313
-X+014376Y+013000
-X+013938Y+012938
-X+013438Y+012938
-X+013125Y+012688
-X+012813Y+012938
-X+012500Y+012688
-X+012188Y+012938
-X+011875Y+012688
-X+011500Y+012813
-X+011125Y+012688
-X+009750Y+012125
-X+008250Y+012813
-X+007187Y+013375
-X+006750Y+015126
-X+006562Y+016688
-X+007937Y+018063
-X+008312Y+017876
-X+008688Y+016313
-X+011313Y+016876
-X+011688Y+017001
-X+012063Y+017001
-X+012438Y+017001
-X+013438Y+018939
-X+014688Y+018939
-X+011438Y+019501
-X+010375Y+018439
-X+012000Y+014376
-X+012313Y+014188
-X+012625Y+014001
-X+012938Y+013813
-X+013751Y+013563
-X+014313Y+014501
-X+014751Y+014501
-X+015001Y+014188
-X+017001Y+007625
-X+016376Y+007437
-X+006500Y+008688
-X+004375Y+008688
-X+004375Y+010313
-X+004375Y+012125
-X+004375Y+014563
-T02
-X+008062Y+009875
-X+007062Y+009875
-T03
-X+041378Y+013063
-X+033308Y+013063
-X+030002Y+020439
-X+029002Y+020439
-X+028002Y+020439
-X+027002Y+020439
-X+026002Y+020439
-T00
-M30
+M48
+INCH
+T01C0.0118
+T02C0.0354
+T03C0.0433
+%
+T01
+X+011188Y+007937
+X+011063Y+008312
+X+010438Y+007375
+X+009750Y+007375
+X+008750Y+007375
+X+008750Y+008312
+X+009625Y+009375
+X+011688Y+009375
+X+012313Y+009688
+X+012313Y+010313
+X+011688Y+010500
+X+013375Y+010500
+X+014438Y+010313
+X+014438Y+009688
+X+013375Y+009375
+X+013751Y+007750
+X+014251Y+007812
+X+015001Y+008062
+X+015376Y+008062
+X+015938Y+007937
+X+015938Y+008375
+X+015688Y+009375
+X+016063Y+010313
+X+015251Y+010500
+X+017063Y+010563
+X+017876Y+010375
+X+018001Y+010750
+X+018001Y+010000
+X+017751Y+009625
+X+017126Y+009125
+X+017938Y+008437
+X+018001Y+007937
+X+018376Y+007937
+X+018751Y+007937
+X+019126Y+008000
+X+019501Y+008125
+X+019876Y+008250
+X+020251Y+008375
+X+020626Y+008500
+X+020251Y+009750
+X+020814Y+010438
+X+020501Y+010750
+X+021376Y+010813
+X+021876Y+010125
+X+023627Y+010375
+X+024627Y+011675
+X+025689Y+012438
+X+026314Y+011688
+X+026877Y+010500
+X+027127Y+009813
+X+027752Y+009500
+X+027752Y+010125
+X+028940Y+010938
+X+029252Y+011125
+X+029627Y+010938
+X+030190Y+010625
+X+030627Y+010500
+X+030815Y+011000
+X+031315Y+011438
+X+031614Y+011661
+X+031002Y+012375
+X+030752Y+012625
+X+030502Y+012875
+X+030440Y+013375
+X+029940Y+013000
+X+028815Y+013125
+X+028190Y+013250
+X+027627Y+013876
+X+027940Y+014126
+X+027627Y+014376
+X+028002Y+014626
+X+027564Y+015188
+X+027877Y+015438
+X+027564Y+015688
+X+027877Y+015938
+X+027502Y+016188
+X+027752Y+016438
+X+027439Y+016688
+X+027314Y+017313
+X+027002Y+017563
+X+028127Y+016876
+X+028752Y+017751
+X+030065Y+017876
+X+030315Y+018126
+X+030315Y+018564
+X+030315Y+019001
+X+029752Y+019376
+X+029002Y+019376
+X+030440Y+019751
+X+030752Y+016813
+X+030065Y+016813
+X+031690Y+016251
+X+031690Y+014938
+X+031752Y+012688
+X+032002Y+010125
+X+032002Y+009500
+X+032753Y+009938
+X+031287Y+008834
+X+031065Y+007375
+X+032065Y+007375
+X+033003Y+007375
+X+032725Y+008090
+X+034565Y+007500
+X+035440Y+007500
+X+036315Y+007500
+X+037316Y+007937
+X+037566Y+007500
+X+038187Y+007437
+X+038628Y+007250
+X+035190Y+008875
+X+036565Y+012563
+X+038128Y+012563
+X+040316Y+012875
+X+043004Y+013313
+X+043004Y+012125
+X+043004Y+010313
+X+043004Y+008688
+X+034753Y+013375
+X+029877Y+010125
+X+029877Y+009500
+X+029690Y+007375
+X+028940Y+007375
+X+028190Y+007375
+X+027439Y+007375
+X+026752Y+007375
+X+026503Y+008033
+X+025877Y+007375
+X+025377Y+007375
+X+024439Y+007375
+X+023689Y+007375
+X+023189Y+007375
+X+022814Y+007375
+X+021939Y+007625
+X+021376Y+007250
+X+019501Y+010875
+X+019564Y+011438
+X+020001Y+012063
+X+019689Y+012313
+X+019439Y+012563
+X+019189Y+013250
+X+019189Y+013876
+X+018439Y+014188
+X+018753Y+014642
+X+018439Y+015063
+X+018439Y+015501
+X+018376Y+015938
+X+018814Y+016438
+X+018376Y+016813
+X+019939Y+017063
+X+021564Y+016876
+X+021876Y+018001
+X+022126Y+018314
+X+022376Y+018001
+X+022626Y+018314
+X+022876Y+018001
+X+023127Y+018314
+X+023377Y+018001
+X+023627Y+018314
+X+023877Y+018001
+X+024127Y+018314
+X+024439Y+018001
+X+024689Y+018314
+X+024939Y+018001
+X+025189Y+018314
+X+025689Y+018376
+X+023189Y+020064
+X+021626Y+018314
+X+021564Y+015563
+X+022626Y+015188
+X+022876Y+012188
+X+025803Y+009919
+X+018501Y+012563
+X+018439Y+013438
+X+016688Y+013688
+X+016376Y+014126
+X+015751Y+013375
+X+014938Y+013063
+X+014626Y+013313
+X+014376Y+013000
+X+013938Y+012938
+X+013438Y+012938
+X+013125Y+012688
+X+012813Y+012938
+X+012500Y+012688
+X+012188Y+012938
+X+011875Y+012688
+X+011500Y+012813
+X+011125Y+012688
+X+009750Y+012125
+X+008250Y+012813
+X+007187Y+013375
+X+006750Y+015126
+X+006562Y+016688
+X+007937Y+018063
+X+008312Y+017876
+X+008688Y+016313
+X+011313Y+016876
+X+011688Y+017001
+X+012063Y+017001
+X+012438Y+017001
+X+013438Y+018939
+X+014688Y+018939
+X+011438Y+019501
+X+010375Y+018439
+X+012000Y+014376
+X+012313Y+014188
+X+012625Y+014001
+X+012938Y+013813
+X+013751Y+013563
+X+014313Y+014501
+X+014751Y+014501
+X+015001Y+014188
+X+017001Y+007625
+X+016376Y+007437
+X+006500Y+008688
+X+004375Y+008688
+X+004375Y+010313
+X+004375Y+012125
+X+004375Y+014563
+T02
+X+008062Y+009875
+X+007062Y+009875
+T03
+X+041378Y+013063
+X+033308Y+013063
+X+030002Y+020439
+X+029002Y+020439
+X+028002Y+020439
+X+027002Y+020439
+X+026002Y+020439
+T00
+M30
diff --git a/board/Top.gbr b/board/Top.gbr
index 098d4cc..2f35307 100644
--- a/board/Top.gbr
+++ b/board/Top.gbr
@@ -1,3272 +1,3272 @@
-G04 DipTrace 2.4.0.2*
-%INTop.gbr*%
-%MOIN*%
-%ADD13C,0.0059*%
-%ADD14C,0.0157*%
-%ADD15C,0.0118*%
-%ADD17C,0.025*%
-%ADD19R,0.0748X0.0748*%
-%ADD20C,0.0669*%
-%ADD21R,0.0787X0.1575*%
-%ADD22R,0.0354X0.0276*%
-%ADD23R,0.063X0.063*%
-%ADD24C,0.063*%
-%ADD25R,0.0276X0.0354*%
-%ADD26R,0.063X0.0709*%
-%ADD27R,0.0709X0.2559*%
-%ADD29R,0.063X0.0118*%
-%ADD31R,0.0374X0.0846*%
-%ADD32R,0.128X0.0846*%
-%ADD33R,0.1181X0.0118*%
-%ADD35R,0.0118X0.0787*%
-%ADD36R,0.0157X0.0709*%
-%ADD37C,0.0276*%
-%FSLAX44Y44*%
-G04*
-G70*
-G90*
-G75*
-G01*
-%LNTop*%
-%LPD*%
-X7780Y14126D2*
-D14*
-X7812D1*
-Y13250D1*
-Y10125D1*
-X8062Y9875D1*
-X32002Y10125D2*
-X32252D1*
-Y11409D1*
-X32508D1*
-X16063Y10313D2*
-X17188Y11438D1*
-X19564D1*
-X19968D1*
-X20001Y11471D1*
-X19564Y11438D2*
-X19597Y11471D1*
-X20257D1*
-X16688Y13688D2*
-Y15251D1*
-X16878Y15440D1*
-D15*
-X17559D1*
-X18501Y12563D2*
-D14*
-Y12625D1*
-D15*
-X18442Y12685D1*
-X17559D1*
-X10000Y15440D2*
-X9152D1*
-D14*
-Y13250D1*
-X7812D1*
-X14471Y11640D2*
-D15*
-Y11167D1*
-D14*
-Y10346D1*
-X14438Y10313D1*
-X12306Y11640D2*
-D15*
-Y11167D1*
-D14*
-X12313D1*
-Y10313D1*
-X29911Y11765D2*
-D15*
-Y10159D1*
-D14*
-X29877Y10125D1*
-X27745Y11765D2*
-D15*
-X27752Y10125D1*
-X23377Y15185D2*
-X22983D1*
-D14*
-X22689D1*
-X22192D1*
-X22126Y15251D1*
-X23377Y15579D2*
-D15*
-X22983D1*
-D14*
-X22689D1*
-Y15185D1*
-X22626Y15188D2*
-X22689Y15185D1*
-X9152Y15440D2*
-Y18340D1*
-X10875Y20064D1*
-X23461D1*
-X23939D1*
-X25627Y18376D1*
-X25689D1*
-X30065D1*
-X30315Y18126D1*
-X23189Y20064D2*
-X23461D1*
-X25689Y17939D2*
-Y18376D1*
-X8000Y17313D2*
-X8686D1*
-Y18314D1*
-Y16566D1*
-Y15126D1*
-Y14126D1*
-Y15126D2*
-X6750D1*
-X14471Y8687D2*
-D15*
-Y9206D1*
-D14*
-Y9655D1*
-X14438Y9688D1*
-X12306Y8687D2*
-D15*
-Y9206D1*
-D14*
-Y9681D1*
-X12313Y9688D1*
-X29911Y8813D2*
-D15*
-Y9466D1*
-D14*
-X29877Y9500D1*
-X27745Y8813D2*
-D15*
-Y9494D1*
-X27752Y9500D1*
-X38187Y7437D2*
-D14*
-Y5400D1*
-X38186Y5399D1*
-X32002Y9500D2*
-Y9125D1*
-X32252D1*
-X17751Y9625D2*
-X17938D1*
-X18439Y9125D1*
-X20001D1*
-Y9188D1*
-X8686Y18314D2*
-X10811Y20439D1*
-X24064D1*
-X25814Y18689D1*
-X30190D1*
-X30315Y18564D1*
-X8688Y16313D2*
-X8686Y16566D1*
-X8312Y17876D2*
-Y18376D1*
-X10750Y20814D1*
-X24189D1*
-X26002Y19001D1*
-X30315D1*
-X26186Y5399D2*
-D13*
-Y7065D1*
-X25877Y7375D1*
-X14274Y11640D2*
-Y12149D1*
-X14376Y12250D1*
-X14626D1*
-X15188Y12813D1*
-Y13438D1*
-X14313Y14313D1*
-Y14501D1*
-Y15501D1*
-X15813Y17001D1*
-X17544D1*
-X17559Y17015D1*
-X14313Y14501D2*
-D3*
-X21186Y5399D2*
-Y6690D1*
-X20501Y7375D1*
-X16813D1*
-X16501Y7687D1*
-X16251D1*
-X16188Y7625D1*
-X14813D1*
-X14274Y8164D1*
-Y8687D1*
-X11912Y11640D2*
-Y12651D1*
-X11875Y12688D1*
-X10000Y16425D2*
-X11549D1*
-X12125Y17001D1*
-X12063D1*
-X11186Y5399D2*
-Y6686D1*
-X11912Y7412D1*
-Y8687D1*
-X11518Y11640D2*
-Y12813D1*
-X11500D1*
-X10000Y16622D2*
-X11434D1*
-X11688Y16876D1*
-Y17001D1*
-X10186Y5399D2*
-Y6686D1*
-X11000Y7500D1*
-X11188D1*
-X11518Y7831D1*
-Y8687D1*
-X11322Y11640D2*
-Y12367D1*
-X11063Y12625D1*
-Y12688D1*
-X11125D1*
-X10000Y16818D2*
-X11255D1*
-X11313Y16876D1*
-X11188Y7937D2*
-X11322D1*
-Y8687D1*
-X14668Y11640D2*
-Y12105D1*
-X15313Y12750D1*
-Y13063D1*
-X17544D1*
-X17559Y13078D1*
-X15313Y13063D2*
-Y13501D1*
-X14751Y14063D1*
-Y14501D1*
-X14865Y8687D2*
-Y8198D1*
-X15001Y8062D1*
-X14865Y11640D2*
-Y12115D1*
-X15063Y12313D1*
-X19689D1*
-X10000Y17015D2*
-X11077D1*
-X13250Y19189D1*
-X23189D1*
-X23877Y18501D1*
-Y18001D1*
-X15259Y8687D2*
-Y8125D1*
-X15313D1*
-X15376Y8062D1*
-X15259Y11640D2*
-Y12133D1*
-X15313Y12188D1*
-X19376D1*
-X19501Y12063D1*
-X20001D1*
-X10000Y17212D2*
-X11086D1*
-X13188Y19314D1*
-X23314D1*
-X24127Y18501D1*
-Y18314D1*
-X10000Y17409D2*
-X11096D1*
-X13125Y19439D1*
-X23377D1*
-X24439Y18376D1*
-Y18001D1*
-X20186Y5399D2*
-Y6690D1*
-X19626Y7250D1*
-X16626D1*
-X16438Y7437D1*
-X16376D1*
-X15938Y7937D2*
-X15876D1*
-X15688Y7750D1*
-X14938D1*
-X14668Y8020D1*
-Y8687D1*
-X10000Y17606D2*
-X11105D1*
-X13063Y19564D1*
-X23439D1*
-X24689Y18314D1*
-X17559Y17606D2*
-X22481D1*
-X22876Y18001D1*
-X10000Y14456D2*
-X11581D1*
-X15438Y18314D1*
-X21626D1*
-X10000Y14653D2*
-X11590D1*
-X15501Y18564D1*
-X21876D1*
-X22126Y18314D1*
-X10000Y16031D2*
-X12218D1*
-X15251Y19064D1*
-X23002D1*
-X23627Y18439D1*
-Y18314D1*
-X10000Y15834D2*
-X12209D1*
-X15313Y18939D1*
-X22876D1*
-X23377Y18439D1*
-Y18001D1*
-X10000Y15244D2*
-X11993D1*
-X15438Y18689D1*
-X22251D1*
-X22626Y18314D1*
-X13684Y11640D2*
-Y12246D1*
-X14376Y12938D1*
-Y13000D1*
-X14188Y13188D1*
-X11750D1*
-X11641Y13078D1*
-X10000D1*
-Y17803D2*
-X11114D1*
-X13000Y19689D1*
-X23689D1*
-X24939Y18439D1*
-Y18001D1*
-X10000Y18000D2*
-X11124D1*
-X12938Y19814D1*
-X23814D1*
-X25189Y18439D1*
-Y18314D1*
-X17559Y18000D2*
-X21876D1*
-Y18001D1*
-X19186Y5399D2*
-Y6689D1*
-X18751Y7125D1*
-X16313D1*
-X15938Y7500D1*
-X14188D1*
-X14001Y7687D1*
-Y7875D1*
-X13684Y8192D1*
-Y8687D1*
-X17559Y17803D2*
-X21637D1*
-X21689Y17751D1*
-X22126D1*
-X22376Y18001D1*
-X14077Y11640D2*
-Y12327D1*
-X14626Y12875D1*
-Y13313D1*
-X11125D1*
-X11087Y13275D1*
-X10000D1*
-X18186Y5399D2*
-Y6689D1*
-X17876Y7000D1*
-X16251D1*
-X15876Y7375D1*
-X14126D1*
-X13751Y7750D1*
-X14251Y7812D2*
-X14077Y7986D1*
-Y8687D1*
-X13487Y11640D2*
-Y12299D1*
-X13938Y12750D1*
-Y12938D1*
-X10000Y13472D2*
-X13659D1*
-X13751Y13563D1*
-X17186Y5399D2*
-Y6689D1*
-X17001Y6875D1*
-X16188D1*
-X15813Y7250D1*
-X13813D1*
-X13487Y7576D1*
-Y8687D1*
-X13290Y11640D2*
-Y12415D1*
-X13438Y12563D1*
-Y12938D1*
-X10000Y13669D2*
-X12794D1*
-X12938Y13813D1*
-X16186Y5399D2*
-Y5756D1*
-X16068Y5875D1*
-X16187D1*
-Y6688D1*
-X15751Y7125D1*
-X13688D1*
-X13290Y7523D1*
-Y8687D1*
-X13093Y11640D2*
-Y12406D1*
-X13125Y12438D1*
-Y12688D1*
-X10000Y13866D2*
-X12625D1*
-Y14001D1*
-X15186Y5399D2*
-Y6689D1*
-X14876Y7000D1*
-X13626D1*
-X13093Y7532D1*
-Y8687D1*
-X12700Y11640D2*
-Y12512D1*
-X12813Y12625D1*
-Y12938D1*
-X10000Y14063D2*
-X12187D1*
-X12313Y14188D1*
-X14186Y5399D2*
-Y6689D1*
-X14001Y6875D1*
-X13375D1*
-X12700Y7551D1*
-Y8687D1*
-X12503Y11640D2*
-X12500Y12688D1*
-X10000Y14259D2*
-X11884D1*
-X12000Y14376D1*
-X13186Y5399D2*
-Y6689D1*
-X12503Y7373D1*
-Y8687D1*
-X12109Y11640D2*
-Y12296D1*
-X12188Y12375D1*
-Y12938D1*
-X10000Y16228D2*
-X11727D1*
-X12500Y17001D1*
-X12438D1*
-X12186Y5399D2*
-Y7376D1*
-X12109Y7454D1*
-Y8687D1*
-X17559Y13866D2*
-X19189D1*
-X19511D1*
-X20751Y12625D1*
-Y11489D1*
-X20769Y11471D1*
-X19189Y13876D2*
-Y13866D1*
-X20513Y9188D2*
-X20501D1*
-Y8562D1*
-X20689D1*
-X20626Y8500D1*
-X17559Y14259D2*
-X18439D1*
-X19305D1*
-X21001Y12563D1*
-Y11495D1*
-X21025Y11471D1*
-X18439Y14188D2*
-Y14259D1*
-X20769Y9188D2*
-X20751D1*
-Y8750D1*
-X20876Y8625D1*
-Y8312D1*
-X20814Y8250D1*
-X20251D1*
-Y8375D1*
-X17559Y14653D2*
-X18751D1*
-X19099D1*
-X21251Y12500D1*
-Y11500D1*
-X21281Y11471D1*
-X18753Y14642D2*
-Y14653D1*
-X21025Y9188D2*
-X21001D1*
-Y8250D1*
-X20876Y8125D1*
-X20001D1*
-X19876Y8250D1*
-X17559Y15047D2*
-X18439D1*
-X18892D1*
-X21501Y12438D1*
-Y11436D1*
-X21537Y11471D1*
-X18439Y15063D2*
-Y15047D1*
-X21281Y9188D2*
-X21251D1*
-Y8312D1*
-X20939Y8000D1*
-X19626D1*
-X19501Y8125D1*
-X18439Y15501D2*
-X18376D1*
-X18239Y15637D1*
-X17559D1*
-X18439Y15501D2*
-X18626D1*
-X21814Y12313D1*
-Y11492D1*
-X21793Y11471D1*
-X21537Y9188D2*
-X21564D1*
-Y8437D1*
-X21001Y7875D1*
-X19251D1*
-X19126Y8000D1*
-X17559Y16031D2*
-X18376D1*
-X18283D1*
-X22064Y12250D1*
-Y11456D1*
-X22048Y11471D1*
-X18376Y15938D2*
-Y16031D1*
-X21793Y9188D2*
-X21814D1*
-Y8500D1*
-X21064Y7750D1*
-X18939D1*
-X18751Y7937D1*
-X17559Y16425D2*
-X18765D1*
-X18939Y16251D1*
-Y15563D1*
-X22314Y12188D1*
-Y11481D1*
-X22304Y11471D1*
-X18814Y16438D2*
-X18800Y16425D1*
-X18765D1*
-X22048Y9188D2*
-X22064D1*
-Y8562D1*
-X21126Y7625D1*
-X18626D1*
-X18376Y7875D1*
-Y7937D1*
-X17559Y16818D2*
-X18871D1*
-X19064Y16626D1*
-Y15626D1*
-X22560Y12129D1*
-Y11471D1*
-X18376Y16813D2*
-X18871Y16818D1*
-X22304Y9188D2*
-X22314D1*
-Y8625D1*
-X21189Y7500D1*
-X18189D1*
-X18001Y7687D1*
-Y7937D1*
-X22186Y5399D2*
-Y6747D1*
-X22814Y7375D1*
-X17559Y13275D2*
-X18226D1*
-X18939Y12563D1*
-X19439D1*
-X17559Y13669D2*
-X18770D1*
-X19189Y13250D1*
-X20257Y9188D2*
-Y9744D1*
-X20251Y9750D1*
-X10000Y15637D2*
-X12199D1*
-X15376Y18814D1*
-X22626D1*
-X23127Y18314D1*
-X15652Y11640D2*
-D15*
-Y10000D1*
-X16501D1*
-D14*
-X17220Y10719D1*
-X17626Y11125D1*
-X19564D1*
-X19939Y10750D1*
-X20513D1*
-X21376D1*
-X22816D1*
-Y11471D1*
-X15652Y10000D2*
-X15062D1*
-X13881D1*
-X12896D1*
-X11125D1*
-Y10250D1*
-X9186D1*
-Y5399D1*
-X12896Y11640D2*
-D15*
-Y10000D1*
-Y8687D2*
-Y10000D1*
-X11125Y11640D2*
-Y10250D1*
-Y8687D2*
-Y10000D1*
-X6875Y14126D2*
-D14*
-Y10063D1*
-X7062Y9875D1*
-X24400Y10063D2*
-X24377D1*
-Y9500D1*
-Y9188D1*
-Y8500D1*
-X24186Y8310D1*
-Y5399D1*
-X30895Y8813D2*
-D13*
-X31092D1*
-X28336Y11765D2*
-D15*
-Y9813D1*
-Y8813D1*
-X30501Y11765D2*
-Y10500D1*
-Y9813D1*
-Y8813D1*
-X13881Y8687D2*
-Y10000D1*
-X15062Y8687D2*
-Y10000D1*
-X29320Y11765D2*
-Y11125D1*
-Y9813D1*
-Y8813D1*
-X15062Y11640D2*
-Y10000D1*
-X24400Y9500D2*
-D14*
-X24377D1*
-X26564Y11765D2*
-D15*
-Y9875D1*
-Y9813D1*
-Y8813D1*
-X22560Y9188D2*
-D14*
-X22816D1*
-X32764Y11409D2*
-Y10313D1*
-X34812D1*
-X35067D1*
-Y11409D1*
-X34812Y9125D2*
-Y10313D1*
-X35067D2*
-Y9125D1*
-X15652Y8687D2*
-D15*
-X15455D1*
-X13881Y11640D2*
-Y10000D1*
-X20513Y11471D2*
-D14*
-Y10750D1*
-X18439Y13438D2*
-X18405Y13472D1*
-D15*
-X17559D1*
-X20513Y10762D2*
-D14*
-X20501Y10750D1*
-X17559Y17409D2*
-D15*
-X18501D1*
-Y17313D1*
-Y17212D1*
-X17559D1*
-X22816Y9188D2*
-D14*
-X24377D1*
-X27155Y11765D2*
-D15*
-Y9813D1*
-Y8813D1*
-X24377Y8500D2*
-D14*
-X25189D1*
-X26564Y9875D1*
-Y9813D2*
-X27155D1*
-X28336D1*
-X29320D1*
-X30501D1*
-X31034Y14989D2*
-D15*
-X30640D1*
-D14*
-X28967D1*
-D15*
-X28573D1*
-X31034Y16170D2*
-X30640D1*
-D14*
-X28967D1*
-D15*
-X28573D1*
-X31034Y14989D2*
-X31428D1*
-D14*
-X31640D1*
-X31690Y14938D1*
-X26564Y11765D2*
-D15*
-X26427D1*
-D14*
-X26392D1*
-X26314Y11688D1*
-X22816Y11471D2*
-Y12128D1*
-X22876Y12188D1*
-X18501Y17313D2*
-X19689D1*
-X19939Y17063D1*
-X31690Y16251D2*
-D15*
-Y16170D1*
-X31034D1*
-X37363Y12543D2*
-D14*
-X36585D1*
-X36565Y12563D1*
-X37363Y12543D2*
-X38108D1*
-X38128Y12563D1*
-X17559Y13472D2*
-D15*
-X16847D1*
-X16751Y13375D1*
-D14*
-X15751D1*
-X18439Y13438D2*
-X19001Y12875D1*
-X19939D1*
-X20501Y12313D1*
-Y11483D1*
-X20513Y11471D1*
-X27127Y9813D2*
-D15*
-X27155D1*
-X22876Y12188D2*
-D14*
-X21814Y13250D1*
-Y14313D1*
-X21564Y14563D1*
-Y15563D1*
-X30627Y10500D2*
-D15*
-X30501D1*
-X29252Y11125D2*
-X29320D1*
-X30065Y16813D2*
-D14*
-X28127D1*
-Y16876D1*
-X11715Y11640D2*
-D15*
-Y11167D1*
-D14*
-Y10500D1*
-Y9403D1*
-X11688Y9375D1*
-X29320Y11765D2*
-D15*
-Y12381D1*
-D14*
-X29940Y13000D1*
-X11715Y8687D2*
-D15*
-Y9159D1*
-D14*
-Y9410D1*
-X11688Y9383D2*
-Y9375D1*
-X21376Y10813D2*
-Y10750D1*
-X21564Y16876D2*
-X25877D1*
-X26877Y17876D1*
-X28190D1*
-X28377Y18063D1*
-X29065D1*
-X29252Y17876D1*
-Y17251D1*
-X28877Y16876D1*
-X28127D1*
-X30440Y19751D2*
-Y19314D1*
-X30752Y19001D1*
-Y17813D1*
-X30565Y17626D1*
-X30315D1*
-X30065Y17876D1*
-X32764Y11409D2*
-Y11864D1*
-X32628Y12000D1*
-X31440D1*
-X31315Y11875D1*
-Y11438D1*
-X31690Y16251D2*
-X31752D1*
-X34628Y13375D1*
-X34753D1*
-X17559Y17409D2*
-D15*
-X16447D1*
-X15346D1*
-X13688Y15751D1*
-Y14688D1*
-X14938Y13438D1*
-Y13063D1*
-X31690Y14938D2*
-D14*
-X33315Y13313D1*
-Y13070D1*
-X33308Y13063D1*
-X17063Y10563D2*
-X17220Y10719D1*
-X11688Y10500D2*
-X11715D1*
-X17559Y17212D2*
-D15*
-X16644D1*
-X16447Y17409D1*
-X23186Y5399D2*
-D13*
-X23189Y7375D1*
-X24911Y10688D2*
-X24877D1*
-Y11000D1*
-X24627Y11250D1*
-X24087Y11790D1*
-Y12188D1*
-X24627Y11675D2*
-Y11250D1*
-X18001Y10000D2*
-X20439D1*
-X20689Y9750D1*
-X23439D1*
-X24400Y10711D1*
-Y10688D1*
-X26761Y11765D2*
-X26752D1*
-Y10500D1*
-X26877D1*
-X26752Y7375D2*
-Y8822D1*
-X26761Y8813D1*
-X25186Y5399D2*
-Y7185D1*
-X25377Y7375D1*
-X31034Y16563D2*
-X30704D1*
-X28573D1*
-X31614Y11661D2*
-Y9864D1*
-X31732Y9746D1*
-X32390D1*
-X32508Y9628D1*
-Y9125D1*
-X30752Y16813D2*
-Y16612D1*
-X30704Y16563D1*
-X23377Y15776D2*
-X26965D1*
-X27377Y16188D1*
-X27502D1*
-X31034Y14004D2*
-X31499D1*
-X31690Y13813D1*
-Y13313D1*
-X31002Y12625D1*
-X30565D1*
-X30315Y12375D1*
-Y11776D1*
-X30305Y11765D1*
-X31034Y14004D2*
-X28573D1*
-X30752Y12625D2*
-X31002D1*
-X33186Y5399D2*
-Y6753D1*
-X31815Y8125D1*
-X30440D1*
-X30305Y8260D1*
-Y8813D1*
-X29690Y7375D2*
-X28927Y8138D1*
-Y8813D1*
-Y11765D2*
-Y10951D1*
-X28940Y10938D1*
-X23377Y16563D2*
-X26002D1*
-X27002Y17563D1*
-X31065Y7375D2*
-X30315D1*
-X29517Y8173D1*
-Y8813D1*
-Y11765D2*
-Y11048D1*
-X29627Y10938D1*
-X23377Y16366D2*
-X26368D1*
-X27314Y17313D1*
-X32065Y7375D2*
-X31440Y8000D1*
-X30315D1*
-X30108Y8207D1*
-Y8813D1*
-Y11765D2*
-Y10707D1*
-X30190Y10625D1*
-X23377Y16170D2*
-X26858D1*
-X27377Y16688D1*
-X27439D1*
-X31034Y13807D2*
-X31508D1*
-X31565Y13751D1*
-Y13438D1*
-X31002Y12875D1*
-X30627D1*
-X30252D1*
-X29690Y12313D1*
-Y11790D1*
-X29714Y11765D1*
-X31034Y13807D2*
-X28573D1*
-X30502Y12875D2*
-X30627D1*
-X33003Y7375D2*
-X32815D1*
-X31940Y8250D1*
-X30752D1*
-X30698Y8304D1*
-Y8813D1*
-Y11765D2*
-Y11117D1*
-X30815Y11000D1*
-X23377Y15973D2*
-X26911D1*
-X27377Y16438D1*
-X27752D1*
-X23377Y15382D2*
-X26821D1*
-X27377Y15938D1*
-X27877D1*
-X23377Y14989D2*
-X26677D1*
-X27377Y15688D1*
-X27564D1*
-X32186Y5399D2*
-Y6753D1*
-X31065Y7875D1*
-X30065D1*
-X29690Y8250D1*
-Y8813D1*
-X29714D1*
-X31034Y16366D2*
-X28573D1*
-X28199D1*
-X27815Y16751D1*
-Y17001D1*
-X28565Y17751D1*
-X28752D1*
-X28573Y14201D2*
-X31034D1*
-X31002Y12375D2*
-X31815Y13188D1*
-Y13876D1*
-X31489Y14201D1*
-X31034D1*
-Y13611D2*
-X30440D1*
-Y13375D1*
-X29752D1*
-X29127Y12750D1*
-Y11769D1*
-X29123Y11765D1*
-X31034Y13611D2*
-X28573D1*
-X30440Y13375D2*
-D3*
-X31186Y5399D2*
-Y6753D1*
-X30998Y6942D1*
-X30498D1*
-X29123Y8316D1*
-Y8813D1*
-X28730Y11765D2*
-Y12710D1*
-X28377Y13063D1*
-X27829Y13611D1*
-X23377D1*
-X28190Y13250D2*
-X28377Y13063D1*
-X30186Y5399D2*
-Y6753D1*
-X30002Y6937D1*
-X29752D1*
-X28752Y7937D1*
-Y8813D1*
-X28730D1*
-X28139Y11765D2*
-Y12551D1*
-X27502Y13188D1*
-X23002D1*
-X22751Y13438D1*
-Y13813D1*
-X22943Y14004D1*
-X23377D1*
-X27381D1*
-X27502Y14126D1*
-X27940D1*
-X29186Y5399D2*
-Y6753D1*
-X28139Y7800D1*
-Y8813D1*
-X27549Y11765D2*
-Y12391D1*
-X27002Y12938D1*
-X22876D1*
-X22501Y13313D1*
-Y14063D1*
-X22836Y14398D1*
-X23377D1*
-X27274D1*
-X27502Y14626D1*
-X28002D1*
-X28186Y5399D2*
-Y7003D1*
-X27564Y7625D1*
-Y8813D1*
-X27549D1*
-X26958Y11765D2*
-Y12232D1*
-X26502Y12688D1*
-X22751D1*
-X22251Y13188D1*
-Y14313D1*
-X22751Y14813D1*
-X23398D1*
-X23377Y14792D1*
-X26730D1*
-X27377Y15438D1*
-X27877D1*
-X27186Y5399D2*
-Y7315D1*
-X26939Y7562D1*
-Y8813D1*
-X26958D1*
-X27352Y11765D2*
-Y12213D1*
-X26752Y12813D1*
-X22814D1*
-X22376Y13250D1*
-Y14188D1*
-X22783Y14595D1*
-X23377D1*
-X26784D1*
-X27377Y15188D1*
-X27564D1*
-X27439Y7375D2*
-X27352D1*
-Y8813D1*
-X27942Y11765D2*
-Y12373D1*
-X27252Y13063D1*
-X22939D1*
-X22626Y13375D1*
-Y13938D1*
-X22890Y14201D1*
-X23377D1*
-X27328D1*
-X27502Y14376D1*
-X27627D1*
-X28190Y7375D2*
-X27942Y7622D1*
-Y8813D1*
-X28533Y11765D2*
-Y12532D1*
-X27752Y13313D1*
-X23064D1*
-X22876Y13501D1*
-Y13688D1*
-X22996Y13807D1*
-X23377D1*
-X27559D1*
-X27627Y13876D1*
-X28940Y7375D2*
-X28533Y7782D1*
-Y8813D1*
-X31034Y14398D2*
-X31480D1*
-X32190Y13688D1*
-Y12938D1*
-X33020Y12108D1*
-Y11409D1*
-X31034Y14398D2*
-X28573D1*
-X32764Y9125D2*
-Y8613D1*
-X34190Y7187D1*
-Y5403D1*
-X34186Y5399D1*
-X31034Y14792D2*
-X31461D1*
-X32440Y13813D1*
-Y13063D1*
-X33532Y11971D1*
-Y11409D1*
-X31034Y14792D2*
-X28573D1*
-X33276Y9125D2*
-X33253D1*
-Y8625D1*
-X34131Y7746D1*
-X34694D1*
-X35186Y7254D1*
-Y5399D1*
-X31034Y15382D2*
-X31808D1*
-X34044Y13147D1*
-Y11409D1*
-X31034Y15382D2*
-X28573D1*
-X33788Y9125D2*
-X33815D1*
-Y8625D1*
-X34440Y8000D1*
-X35378D1*
-X36186Y7191D1*
-Y5399D1*
-X31034Y15776D2*
-X31790D1*
-X34556Y13010D1*
-Y11409D1*
-X31034Y15776D2*
-X28573D1*
-X37186Y5399D2*
-Y7066D1*
-X36003Y8250D1*
-X34690D1*
-X34300Y8640D1*
-Y9125D1*
-X31034Y15973D2*
-X31780D1*
-X34812Y12942D1*
-Y11409D1*
-X31034Y15973D2*
-X28573D1*
-X37566Y7500D2*
-X37128D1*
-X36253Y8375D1*
-X34815D1*
-X34556Y8635D1*
-Y9125D1*
-X31034Y15579D2*
-X31799D1*
-X34300Y13078D1*
-Y11409D1*
-X31034Y15579D2*
-X28573D1*
-X34044Y9125D2*
-Y8646D1*
-X34565Y8125D1*
-X35690D1*
-X36315Y7500D1*
-X31034Y15185D2*
-X31818D1*
-X33788Y13215D1*
-Y11409D1*
-X31034Y15185D2*
-X28573D1*
-X33532Y9125D2*
-X33565D1*
-Y8625D1*
-X34315Y7875D1*
-X34878D1*
-X35253Y7500D1*
-X35440D1*
-X31034Y14595D2*
-X31471D1*
-X32315Y13751D1*
-Y13000D1*
-X33276Y12039D1*
-Y11409D1*
-X31034Y14595D2*
-X28573D1*
-X33020Y9125D2*
-Y8607D1*
-X34128Y7500D1*
-X34565D1*
-X24911Y10063D2*
-Y10090D1*
-X24939Y10063D1*
-X25252D1*
-Y12188D1*
-X25189D1*
-Y12438D1*
-X25689D1*
-X24911Y9500D2*
-Y10063D1*
-X18001Y10750D2*
-X18063D1*
-X18314Y10500D1*
-X20751D1*
-X20814Y10438D1*
-X17876Y10375D2*
-X18126D1*
-X18376Y10125D1*
-X21876D1*
-D37*
-X25689Y12438D3*
-X11188Y7937D3*
-X38187Y7437D3*
-X6750Y15126D3*
-X12313Y10313D3*
-X14438D3*
-X12313Y9688D3*
-X14438D3*
-X27752Y9500D3*
-Y10125D3*
-X29877D3*
-Y9500D3*
-X27439Y7375D3*
-X28190D3*
-X28940D3*
-X19876Y8250D3*
-X19439Y12563D3*
-X14751Y14501D3*
-X18001Y10750D3*
-X19189Y13250D3*
-X19689Y12313D3*
-X25689Y18376D3*
-X21876Y10125D3*
-X17876Y10375D3*
-X20814Y10438D3*
-X19189Y13876D3*
-X18439Y14188D3*
-X18753Y14642D3*
-X18439Y15063D3*
-Y15501D3*
-X18376Y15938D3*
-X11500Y12813D3*
-X11875Y12688D3*
-X12188Y12938D3*
-X12500Y12688D3*
-X12313Y14188D3*
-X13125Y12688D3*
-X13438Y12938D3*
-X13938D3*
-X14376Y13000D3*
-X14626Y13313D3*
-X20501Y10750D3*
-X13751Y13563D3*
-X12938Y13813D3*
-X12813Y12938D3*
-X11125Y12688D3*
-X12625Y14001D3*
-X12000Y14376D3*
-X12438Y17001D3*
-X12063D3*
-X11688D3*
-X11313Y16876D3*
-X16063Y10313D3*
-X17751Y9625D3*
-X16376Y7437D3*
-X15938Y7937D3*
-X18814Y16438D3*
-X32002Y9500D3*
-X34565Y7500D3*
-X35440D3*
-X36315D3*
-X37566D3*
-X18376Y16813D3*
-X23877Y18001D3*
-X24127Y18314D3*
-X14313Y14501D3*
-X26877Y10500D3*
-X28940Y10938D3*
-X13751Y7750D3*
-X14251Y7812D3*
-X20251Y9750D3*
-X15001Y14188D3*
-X8312Y17876D3*
-X20001Y12063D3*
-X19564Y11438D3*
-X16688Y13688D3*
-X29627Y10938D3*
-X30190Y10625D3*
-X24627Y11675D3*
-X30815Y11000D3*
-X31002Y12375D3*
-X30752Y12625D3*
-X30502Y12875D3*
-X30440Y13375D3*
-X28190Y13250D3*
-X18501Y12563D3*
-X26503Y8033D3*
-X26752Y7375D3*
-X29690D3*
-X31065D3*
-X32065D3*
-X33003D3*
-X21626Y18314D3*
-X22126D3*
-X22626D3*
-X27627Y13876D3*
-X27940Y14126D3*
-X27627Y14376D3*
-X28002Y14626D3*
-X27564Y15188D3*
-X27877Y15438D3*
-X18001Y10000D3*
-X15001Y8062D3*
-X15376D3*
-X24689Y18314D3*
-X24439Y18001D3*
-X27564Y15688D3*
-X27877Y15938D3*
-X24939Y18001D3*
-X25189Y18314D3*
-X27502Y16188D3*
-X27752Y16438D3*
-X27439Y16688D3*
-X23377Y18001D3*
-X23627Y18314D3*
-X23127D3*
-X8688Y16313D3*
-X27314Y17313D3*
-X32002Y10125D3*
-X27002Y17563D3*
-X31690Y14938D3*
-X10375Y18439D3*
-X28752Y17751D3*
-X29940Y13000D3*
-X26314Y11688D3*
-X22814Y7375D3*
-X23189D3*
-X25377D3*
-X25877D3*
-X22626Y15188D3*
-X21564Y15563D3*
-X21376Y10813D3*
-X22876Y12188D3*
-X19939Y17063D3*
-X21564Y16876D3*
-X23627Y10375D3*
-X43004Y8688D3*
-X31690Y16251D3*
-X36565Y12563D3*
-X38128D3*
-X19501Y10875D3*
-X23189Y20064D3*
-X30315Y18126D3*
-Y18564D3*
-Y19001D3*
-X15751Y13375D3*
-X21376Y7250D3*
-X21939Y7625D3*
-X22376Y18001D3*
-X22876D3*
-X21876D3*
-X35190Y8875D3*
-X37316Y7937D3*
-X32725Y8090D3*
-X31287Y8834D3*
-X27127Y9813D3*
-X25803Y9919D3*
-X17938Y8437D3*
-X30065Y16813D3*
-X15938Y8375D3*
-X18439Y13438D3*
-X17001Y7625D3*
-X17126Y9125D3*
-X15688Y9375D3*
-X11688D3*
-X9750Y7375D3*
-X8750D3*
-X17063Y10563D3*
-X13375Y10500D3*
-X15251D3*
-X7187Y13375D3*
-X31315Y11438D3*
-X30627Y10500D3*
-X29252Y11125D3*
-X28127Y16876D3*
-X11438Y19501D3*
-X16376Y14126D3*
-X9750Y12125D3*
-X13375Y9375D3*
-X13438Y18939D3*
-X28815Y13125D3*
-X23689Y7375D3*
-X24439D3*
-X4375Y14563D3*
-Y8688D3*
-X6500D3*
-X6562Y16688D3*
-X30440Y19751D3*
-X40316Y12875D3*
-X30065Y17876D3*
-X43004Y13313D3*
-X7937Y18063D3*
-X34753Y13375D3*
-X8750Y8312D3*
-X9625Y9375D3*
-X11063Y8312D3*
-X11688Y10500D3*
-X29002Y19376D3*
-X29752D3*
-X31752Y12688D3*
-X8250Y12813D3*
-X10438Y7375D3*
-X38628Y7250D3*
-X4375Y10313D3*
-Y12125D3*
-X43004D3*
-Y10313D3*
-X14938Y13063D3*
-X14688Y18939D3*
-X32753Y9938D3*
-X19501Y8125D3*
-X19126Y8000D3*
-X18751Y7937D3*
-X18376D3*
-X18001D3*
-X20626Y8500D3*
-X20251Y8375D3*
-X31614Y11661D3*
-X30752Y16813D3*
-X24948Y20565D2*
-D17*
-X26391D1*
-X25198Y20316D2*
-X26391D1*
-X25444Y20068D2*
-X26508D1*
-X25694Y19819D2*
-X30476D1*
-X10894Y19570D2*
-X12254D1*
-X25944D2*
-X30476D1*
-X10644Y19322D2*
-X12004D1*
-X10394Y19073D2*
-X11758D1*
-X10148Y18824D2*
-X11508D1*
-X13327D2*
-X14570D1*
-X30702D2*
-X30851D1*
-X9898Y18576D2*
-X11258D1*
-X13077D2*
-X14320D1*
-X30741D2*
-X31101D1*
-X9648Y18327D2*
-X11012D1*
-X12831D2*
-X14074D1*
-X30691D2*
-X31351D1*
-X12581Y18078D2*
-X13824D1*
-X30741D2*
-X31598D1*
-X7593Y17830D2*
-X7887D1*
-X12331D2*
-X13574D1*
-X15394D2*
-X16680D1*
-X26155D2*
-X26676D1*
-X27327D2*
-X28203D1*
-X29171D2*
-X30016D1*
-X30612D2*
-X31848D1*
-X7343Y17581D2*
-X7533D1*
-X12085D2*
-X13328D1*
-X15148D2*
-X16680D1*
-X22898D2*
-X25223D1*
-X26155D2*
-X26574D1*
-X27640D2*
-X27953D1*
-X29144D2*
-X32098D1*
-X7097Y17332D2*
-X7531D1*
-X12691D2*
-X13078D1*
-X14898D2*
-X16680D1*
-X22616D2*
-X26328D1*
-X28585D2*
-X32344D1*
-X14648Y17083D2*
-X15453D1*
-X19023D2*
-X26082D1*
-X28339D2*
-X30430D1*
-X31077D2*
-X32594D1*
-X6597Y16835D2*
-X6851D1*
-X14401D2*
-X15207D1*
-X19296D2*
-X22773D1*
-X31640D2*
-X32844D1*
-X6351Y16586D2*
-X6851D1*
-X14151D2*
-X14957D1*
-X15839D2*
-X16680D1*
-X19382D2*
-X22773D1*
-X31640D2*
-X32851D1*
-X6101Y16337D2*
-X6851D1*
-X13901D2*
-X14707D1*
-X15593D2*
-X16680D1*
-X19382D2*
-X22773D1*
-X31640D2*
-X32851D1*
-X5851Y16089D2*
-X6851D1*
-X13655D2*
-X14461D1*
-X15343D2*
-X16680D1*
-X19382D2*
-X22773D1*
-X32105D2*
-X32851D1*
-X5605Y15840D2*
-X6851D1*
-X13405D2*
-X14211D1*
-X15093D2*
-X16680D1*
-X19382D2*
-X22441D1*
-X32355D2*
-X32851D1*
-X5355Y15591D2*
-X8316D1*
-X13155D2*
-X14008D1*
-X14847D2*
-X16519D1*
-X19538D2*
-X21699D1*
-X32605D2*
-X32851D1*
-X5105Y15343D2*
-X6387D1*
-X12909D2*
-X13992D1*
-X14632D2*
-X16332D1*
-X19788D2*
-X21699D1*
-X4859Y15094D2*
-X6324D1*
-X12659D2*
-X13992D1*
-X14632D2*
-X16320D1*
-X20038D2*
-X21699D1*
-X4609Y14845D2*
-X6437D1*
-X12409D2*
-X13992D1*
-X14984D2*
-X16320D1*
-X20284D2*
-X21699D1*
-X4359Y14597D2*
-X7305D1*
-X12362D2*
-X13898D1*
-X15167D2*
-X16320D1*
-X20534D2*
-X22094D1*
-X4337Y14348D2*
-X7305D1*
-X12855D2*
-X13914D1*
-X15148D2*
-X16320D1*
-X20784D2*
-X21934D1*
-X4337Y14099D2*
-X7305D1*
-X13245D2*
-X14086D1*
-X15155D2*
-X16320D1*
-X21030D2*
-X21934D1*
-X4337Y13851D2*
-X7305D1*
-X14058D2*
-X14336D1*
-X15405D2*
-X16297D1*
-X21280D2*
-X21934D1*
-X4337Y13602D2*
-X7305D1*
-X15616D2*
-X16269D1*
-X21530D2*
-X21934D1*
-X32761D2*
-X32961D1*
-X4337Y13353D2*
-X7445D1*
-X21776D2*
-X21934D1*
-X32761D2*
-X33207D1*
-X34843D2*
-X40715D1*
-X42042D2*
-X43043D1*
-X4337Y13104D2*
-X7445D1*
-X19589D2*
-X19832D1*
-X28776D2*
-X29039D1*
-X32839D2*
-X33457D1*
-X35081D2*
-X40715D1*
-X42042D2*
-X43043D1*
-X4337Y12856D2*
-X7445D1*
-X8183D2*
-X9121D1*
-X19741D2*
-X20078D1*
-X33089D2*
-X33469D1*
-X35132D2*
-X40715D1*
-X42042D2*
-X43043D1*
-X4337Y12607D2*
-X7445D1*
-X8183D2*
-X9121D1*
-X19987D2*
-X20328D1*
-X31675D2*
-X32078D1*
-X33339D2*
-X33471D1*
-X35132D2*
-X40715D1*
-X42042D2*
-X43043D1*
-X4337Y12358D2*
-X7445D1*
-X8183D2*
-X10867D1*
-X20300D2*
-X20434D1*
-X22773D2*
-X23480D1*
-X26109D2*
-X26391D1*
-X31429D2*
-X32328D1*
-X35132D2*
-X43043D1*
-X4337Y12110D2*
-X7445D1*
-X8183D2*
-X10973D1*
-X22878D2*
-X23480D1*
-X25948D2*
-X26414D1*
-X31331D2*
-X32578D1*
-X35132D2*
-X43043D1*
-X5901Y11861D2*
-X7445D1*
-X8183D2*
-X10973D1*
-X15804D2*
-X19262D1*
-X22929D2*
-X23480D1*
-X25792D2*
-X26414D1*
-X35179D2*
-X41480D1*
-X5901Y11612D2*
-X7445D1*
-X8183D2*
-X10973D1*
-X15804D2*
-X16851D1*
-X22929D2*
-X23480D1*
-X25792D2*
-X26414D1*
-X35179D2*
-X41480D1*
-X5901Y11364D2*
-X7445D1*
-X8183D2*
-X10973D1*
-X15804D2*
-X16601D1*
-X22929D2*
-X24070D1*
-X25569D2*
-X26414D1*
-X35179D2*
-X41480D1*
-X5901Y11115D2*
-X7445D1*
-X8183D2*
-X10973D1*
-X15804D2*
-X16355D1*
-X22929D2*
-X24320D1*
-X25569D2*
-X26414D1*
-X35179D2*
-X41480D1*
-X5901Y10866D2*
-X7445D1*
-X8183D2*
-X11945D1*
-X12683D2*
-X14101D1*
-X14839D2*
-X16105D1*
-X17128D2*
-X17590D1*
-X18413D2*
-X19633D1*
-X22929D2*
-X23934D1*
-X25569D2*
-X26434D1*
-X27069D2*
-X27398D1*
-X28097D2*
-X28519D1*
-X35179D2*
-X41480D1*
-X5901Y10618D2*
-X7445D1*
-X8183D2*
-X11945D1*
-X12683D2*
-X14101D1*
-X14839D2*
-X15777D1*
-X16878D2*
-X17531D1*
-X21198D2*
-X23867D1*
-X25569D2*
-X26434D1*
-X28101D2*
-X28672D1*
-X29210D2*
-X29359D1*
-X30964D2*
-X31293D1*
-X32620D2*
-X41480D1*
-X4337Y10369D2*
-X7445D1*
-X8667D2*
-X11891D1*
-X12737D2*
-X14016D1*
-X14862D2*
-X15641D1*
-X16632D2*
-X17449D1*
-X22222D2*
-X23617D1*
-X25569D2*
-X26465D1*
-X28101D2*
-X29531D1*
-X30526D2*
-X31293D1*
-X32620D2*
-X43043D1*
-X4337Y10120D2*
-X7445D1*
-X8667D2*
-X11934D1*
-X12691D2*
-X14059D1*
-X14816D2*
-X15684D1*
-X16441D2*
-X17539D1*
-X22304D2*
-X23367D1*
-X24249D2*
-X24445D1*
-X25569D2*
-X26719D1*
-X27034D2*
-X27324D1*
-X28179D2*
-X29449D1*
-X30304D2*
-X31293D1*
-X32620D2*
-X43043D1*
-X4337Y9872D2*
-X7457D1*
-X8667D2*
-X11930D1*
-X12694D2*
-X14055D1*
-X14819D2*
-X17406D1*
-X24003D2*
-X24445D1*
-X25499D2*
-X27414D1*
-X28089D2*
-X29539D1*
-X30214D2*
-X31293D1*
-X32706D2*
-X43043D1*
-X4337Y9623D2*
-X7457D1*
-X8667D2*
-X11891D1*
-X12734D2*
-X14016D1*
-X14859D2*
-X17324D1*
-X23753D2*
-X24445D1*
-X25378D2*
-X27344D1*
-X28159D2*
-X29469D1*
-X30284D2*
-X31414D1*
-X34925D2*
-X43043D1*
-X4337Y9374D2*
-X7457D1*
-X8667D2*
-X11937D1*
-X12675D2*
-X14101D1*
-X14839D2*
-X17410D1*
-X22671D2*
-X24445D1*
-X25378D2*
-X26414D1*
-X31046D2*
-X31594D1*
-X34925D2*
-X43043D1*
-X4337Y9125D2*
-X10973D1*
-X15609D2*
-X17926D1*
-X22671D2*
-X24445D1*
-X25378D2*
-X26414D1*
-X31046D2*
-X31633D1*
-X34925D2*
-X43043D1*
-X4337Y8877D2*
-X10973D1*
-X15609D2*
-X18176D1*
-X22671D2*
-X26414D1*
-X31046D2*
-X31738D1*
-X34925D2*
-X43043D1*
-X4337Y8628D2*
-X10973D1*
-X15609D2*
-X19633D1*
-X22671D2*
-X26414D1*
-X31046D2*
-X31883D1*
-X36429D2*
-X43043D1*
-X8714Y8379D2*
-X10973D1*
-X15648D2*
-X18965D1*
-X22511D2*
-X26414D1*
-X32253D2*
-X32559D1*
-X36691D2*
-X38664D1*
-X8714Y8131D2*
-X10809D1*
-X16316D2*
-X17621D1*
-X22261D2*
-X26434D1*
-X32499D2*
-X32805D1*
-X36937D2*
-X38664D1*
-X8714Y7882D2*
-X10766D1*
-X16749D2*
-X17578D1*
-X22011D2*
-X26434D1*
-X32749D2*
-X33055D1*
-X37187D2*
-X37414D1*
-X37718D2*
-X38664D1*
-X8714Y7633D2*
-X10691D1*
-X21765D2*
-X22480D1*
-X23523D2*
-X25043D1*
-X26210D2*
-X26418D1*
-X8714Y7385D2*
-X10445D1*
-X21515D2*
-X22383D1*
-X23616D2*
-X24945D1*
-X42017Y13378D2*
-Y12424D1*
-X40740D1*
-Y13373D1*
-X39066Y13376D1*
-X34797D1*
-X35020Y13150D1*
-X35088Y13042D1*
-X35106Y12942D1*
-Y12032D1*
-X35155Y12028D1*
-Y10789D1*
-X34468Y10793D1*
-X34518Y10789D1*
-X33956Y10793D1*
-X34007Y10789D1*
-X33444Y10793D1*
-X33495Y10789D1*
-X32932Y10793D1*
-X32989Y10789D1*
-X32677Y10793D1*
-X32727Y10789D1*
-X32596Y10784D1*
-Y10125D1*
-X32573Y9977D1*
-X32717Y9836D1*
-X32780Y9741D1*
-X32852Y9744D1*
-X33364D1*
-X33876D1*
-X34387D1*
-X34899D1*
-Y8709D1*
-X34940Y8669D1*
-X36253D1*
-X36377Y8641D1*
-X36461Y8583D1*
-X37253Y7794D1*
-X37288D1*
-X37421Y7876D1*
-X37543Y7902D1*
-X37667Y7890D1*
-X37781Y7840D1*
-X37875Y7758D1*
-X37901Y7715D1*
-X37935Y7751D1*
-X38043Y7813D1*
-X38165Y7839D1*
-X38289Y7827D1*
-X38403Y7777D1*
-X38496Y7695D1*
-X38561Y7589D1*
-X38590Y7437D1*
-X38571Y7314D1*
-X38531Y7234D1*
-X38563Y7188D1*
-X38692D1*
-X38691Y8500D1*
-X38752Y8605D1*
-X38816Y8625D1*
-X43066D1*
-Y10375D1*
-X41628D1*
-X41523Y10436D1*
-X41503Y10500D1*
-Y11938D1*
-X41565Y12043D1*
-X41628Y12063D1*
-X43066D1*
-Y13376D1*
-X42015D1*
-X7683Y17716D2*
-X7945D1*
-X7910Y17861D1*
-X7925Y17984D1*
-X7968Y18080D1*
-X7969Y18231D1*
-X4312Y14574D1*
-Y12063D1*
-X5750D1*
-X5855Y12002D1*
-X5875Y11938D1*
-Y10500D1*
-X5813Y10395D1*
-X5750Y10375D1*
-X4312D1*
-Y8625D1*
-X8562D1*
-X8668Y8564D1*
-X8687Y8500D1*
-X8688Y7250D1*
-X10332Y7248D1*
-X10795Y7710D1*
-X10809Y7800D1*
-X10785Y7922D1*
-X10800Y8046D1*
-X10852Y8159D1*
-X10935Y8251D1*
-X10999Y8288D1*
-X10998Y9346D1*
-X11646Y9342D1*
-X11842Y9346D1*
-X11961D1*
-X11962Y9491D1*
-X11934Y9550D1*
-X11910Y9672D1*
-X11925Y9796D1*
-X11977Y9909D1*
-X12058Y9999D1*
-X11994Y10066D1*
-X11934Y10175D1*
-X11910Y10298D1*
-X11925Y10421D1*
-X11969Y10517D1*
-Y10981D1*
-X11785Y10985D1*
-X11588Y10981D1*
-X11194D1*
-X10998D1*
-Y12275D1*
-X10855Y12396D1*
-Y12361D1*
-X9144D1*
-Y12905D1*
-X8156Y12907D1*
-Y10458D1*
-X8642Y10455D1*
-Y9295D1*
-X7483D1*
-X7478Y10046D1*
-X7469Y10250D1*
-Y13434D1*
-X7328Y13437D1*
-Y14785D1*
-X6963Y14782D1*
-X6880Y14745D1*
-X6757Y14723D1*
-X6634Y14740D1*
-X6522Y14794D1*
-X6431Y14879D1*
-X6371Y14988D1*
-X6347Y15111D1*
-X6362Y15234D1*
-X6414Y15347D1*
-X6497Y15440D1*
-X6605Y15502D1*
-X6727Y15528D1*
-X6851Y15515D1*
-X6954Y15471D1*
-X8340Y15469D1*
-X8342Y15723D1*
-X7185Y15721D1*
-X6876D1*
-Y17097D1*
-X7559D1*
-X7558Y17716D1*
-X7683D1*
-X26131Y18033D2*
-Y17536D1*
-X25247D1*
-Y17743D1*
-X25180Y17678D1*
-X25069Y17620D1*
-X24947Y17598D1*
-X24823Y17615D1*
-X24711Y17669D1*
-X24691Y17688D1*
-X24569Y17620D1*
-X24447Y17598D1*
-X24323Y17615D1*
-X24211Y17669D1*
-X24163Y17714D1*
-X24117Y17678D1*
-X24007Y17620D1*
-X23884Y17598D1*
-X23761Y17615D1*
-X23649Y17669D1*
-X23629Y17688D1*
-X23507Y17620D1*
-X23384Y17598D1*
-X23261Y17615D1*
-X23149Y17669D1*
-X23129Y17688D1*
-X23007Y17620D1*
-X22888Y17599D1*
-X22690Y17398D1*
-X22581Y17330D1*
-X22481Y17311D1*
-X18415D1*
-X18410Y17282D1*
-X18414Y17210D1*
-X18477Y17203D1*
-X18591Y17154D1*
-X18635Y17115D1*
-X18871Y17113D1*
-X18993Y17086D1*
-X19079Y17027D1*
-X19272Y16834D1*
-X19340Y16726D1*
-X19358Y16626D1*
-X19360Y15746D1*
-X21959Y13146D1*
-X21957Y14313D1*
-X21985Y14437D1*
-X22043Y14521D1*
-X22333Y14811D1*
-X21724Y14809D1*
-Y15693D1*
-X22368D1*
-X22432Y15808D1*
-X22530Y15884D1*
-X22653Y15920D1*
-X22794Y15923D1*
-X22801Y16100D1*
-X22797Y16346D1*
-X22801Y16494D1*
-X22797Y16739D1*
-Y16887D1*
-X23956D1*
-Y16860D1*
-X25752Y16858D1*
-X25882Y16860D1*
-X26597Y17575D1*
-X26614Y17672D1*
-X26666Y17785D1*
-X26750Y17877D1*
-X26857Y17939D1*
-X26979Y17966D1*
-X27103Y17953D1*
-X27217Y17904D1*
-X27311Y17822D1*
-X27376Y17711D1*
-X27416Y17703D1*
-X27530Y17654D1*
-X27623Y17572D1*
-X27688Y17465D1*
-X27717Y17320D1*
-X28400Y17995D1*
-X28440Y18032D1*
-X26131D1*
-X25353Y9790D2*
-Y9097D1*
-X24469D1*
-X24473Y9903D1*
-X24469Y10160D1*
-X24467Y10285D1*
-X24392D1*
-X23647Y9542D1*
-X23539Y9474D1*
-X23439Y9456D1*
-X22646D1*
-X22648Y8568D1*
-X22605D1*
-X22554Y8455D1*
-X22257Y8152D1*
-X21397Y7292D1*
-X21289Y7224D1*
-X22246Y7222D1*
-X22412Y7389D1*
-X22426Y7483D1*
-X22478Y7597D1*
-X22562Y7689D1*
-X22670Y7751D1*
-X22791Y7777D1*
-X22915Y7765D1*
-X22997Y7729D1*
-X23045Y7751D1*
-X23166Y7777D1*
-X23290Y7765D1*
-X23404Y7715D1*
-X23498Y7633D1*
-X23562Y7526D1*
-X23592Y7375D1*
-X23573Y7252D1*
-X23563Y7219D1*
-X24895Y7216D1*
-X24946Y7355D1*
-X24920Y7309D1*
-X25041Y7597D1*
-X25124Y7689D1*
-X25232Y7751D1*
-X25354Y7777D1*
-X25478Y7765D1*
-X25592Y7715D1*
-X25624Y7687D1*
-X25732Y7751D1*
-X25854Y7777D1*
-X25978Y7765D1*
-X26092Y7715D1*
-X26186Y7633D1*
-X26250Y7526D1*
-X26279Y7389D1*
-X26349Y7360D1*
-X26364Y7483D1*
-X26416Y7597D1*
-X26455Y7640D1*
-X26457Y8152D1*
-X26437Y8154D1*
-Y9471D1*
-X27085Y9467D1*
-X27282Y9471D1*
-X27354D1*
-X27364Y9609D1*
-X27416Y9722D1*
-X27497Y9811D1*
-X27433Y9879D1*
-X27373Y9988D1*
-X27350Y10110D1*
-X27364Y10234D1*
-X27429Y10361D1*
-X27424Y11104D1*
-X27422Y11111D1*
-X27225Y11107D1*
-X27050D1*
-X27046Y10863D1*
-X27092Y10840D1*
-X27186Y10758D1*
-X27250Y10652D1*
-X27280Y10500D1*
-X27260Y10377D1*
-X27204Y10266D1*
-X27117Y10177D1*
-X27007Y10119D1*
-X26885Y10098D1*
-X26761Y10114D1*
-X26649Y10168D1*
-X26558Y10254D1*
-X26508Y10335D1*
-X26462Y10450D1*
-X26457Y10875D1*
-Y11106D1*
-X26437Y11107D1*
-Y12337D1*
-X26377Y12393D1*
-X26092D1*
-X26073Y12315D1*
-X26017Y12204D1*
-X25930Y12115D1*
-X25819Y12057D1*
-X25770Y12048D1*
-X25769Y11569D1*
-X25546Y11563D1*
-Y10063D1*
-X25520Y9941D1*
-X25443Y9840D1*
-X25413Y9817D1*
-X25353Y9715D1*
-X25350Y9660D1*
-X23889Y11569D2*
-X23507D1*
-X23502Y12393D1*
-X22748Y12394D1*
-X22719Y12387D1*
-X22768Y12337D1*
-X22836Y12229D1*
-X22855Y12129D1*
-Y12094D1*
-X22904Y12090D1*
-Y10852D1*
-X22217Y10856D1*
-X22267Y10852D1*
-X21705Y10856D1*
-X21755Y10852D1*
-X21193Y10856D1*
-X21243Y10852D1*
-X20681Y10856D1*
-X20669Y10814D1*
-X20791Y10840D1*
-X20915Y10827D1*
-X21029Y10778D1*
-X21123Y10696D1*
-X21187Y10589D1*
-X21216Y10438D1*
-X21213Y10418D1*
-X21599Y10420D1*
-X21732Y10501D1*
-X21854Y10527D1*
-X21978Y10515D1*
-X22092Y10465D1*
-X22185Y10383D1*
-X22250Y10277D1*
-X22279Y10125D1*
-X22266Y10044D1*
-X23319Y10047D1*
-X23957Y10685D1*
-X23958Y11091D1*
-X24368D1*
-X23891Y11570D1*
-X27501Y20111D2*
-X27405Y19995D1*
-X27304Y19921D1*
-X27190Y19870D1*
-X27068Y19843D1*
-X26944Y19842D1*
-X26821Y19867D1*
-X26707Y19917D1*
-X26605Y19989D1*
-X26521Y20081D1*
-X26457Y20188D1*
-X26417Y20306D1*
-X26402Y20430D1*
-X26414Y20554D1*
-X26450Y20674D1*
-X26511Y20783D1*
-X26539Y20815D1*
-X24678Y20814D1*
-X25935Y19554D1*
-X26142Y19347D1*
-X28752Y19345D1*
-X30107D1*
-X30170Y19377D1*
-X30292Y19403D1*
-X30416Y19391D1*
-X30505Y19352D1*
-X30502Y20107D1*
-X30405Y19995D1*
-X30304Y19921D1*
-X30190Y19870D1*
-X30068Y19843D1*
-X29944Y19842D1*
-X29821Y19867D1*
-X29707Y19917D1*
-X29605Y19989D1*
-X29503Y20111D1*
-X29405Y19995D1*
-X29304Y19921D1*
-X29190Y19870D1*
-X29068Y19843D1*
-X28944Y19842D1*
-X28821Y19867D1*
-X28707Y19917D1*
-X28605Y19989D1*
-X28503Y20111D1*
-X28405Y19995D1*
-X28304Y19921D1*
-X28190Y19870D1*
-X28068Y19843D1*
-X27944Y19842D1*
-X27821Y19867D1*
-X27707Y19917D1*
-X27605Y19989D1*
-X27503Y20111D1*
-X12696Y10190D2*
-X12640Y10078D1*
-X12567Y10003D1*
-X12622Y9946D1*
-X12686Y9839D1*
-X12716Y9688D1*
-X12696Y9565D1*
-X12649Y9471D1*
-Y9349D1*
-X12827Y9346D1*
-X13024D1*
-X13417D1*
-X13811D1*
-X14008D1*
-X14126D1*
-X14120Y9441D1*
-X14060Y9550D1*
-X14036Y9672D1*
-X14050Y9796D1*
-X14102Y9909D1*
-X14183Y9999D1*
-X14120Y10066D1*
-X14060Y10175D1*
-X14036Y10298D1*
-X14050Y10421D1*
-X14102Y10534D1*
-X14126Y10560D1*
-X14128Y10982D1*
-X13950Y10985D1*
-X13754Y10981D1*
-X13360D1*
-X12966D1*
-X12769D1*
-X12659D1*
-X12657Y10523D1*
-X12686Y10464D1*
-X12716Y10313D1*
-X12696Y10190D1*
-X14822D2*
-X14766Y10078D1*
-X14692Y10003D1*
-X14747Y9946D1*
-X14811Y9839D1*
-X14841Y9688D1*
-X14815Y9530D1*
-Y9346D1*
-X14992Y9342D1*
-X15189Y9346D1*
-X15583D1*
-Y8404D1*
-X15685Y8321D1*
-X15715Y8271D1*
-X15794Y8313D1*
-X15916Y8340D1*
-X16039Y8327D1*
-X16154Y8278D1*
-X16247Y8196D1*
-X16311Y8089D1*
-X16337Y7979D1*
-X16501Y7982D1*
-X16625Y7953D1*
-X16709Y7896D1*
-X16938Y7669D1*
-X17700D1*
-X17622Y7800D1*
-X17599Y7922D1*
-X17613Y8046D1*
-X17665Y8159D1*
-X17749Y8251D1*
-X17857Y8313D1*
-X17978Y8340D1*
-X18102Y8327D1*
-X18184Y8292D1*
-X18232Y8313D1*
-X18353Y8340D1*
-X18477Y8327D1*
-X18559Y8292D1*
-X18607Y8313D1*
-X18728Y8340D1*
-X18852Y8327D1*
-X18877Y8316D1*
-X18982Y8376D1*
-X19103Y8402D1*
-X19200Y8392D1*
-X19249Y8439D1*
-X19357Y8501D1*
-X19478Y8527D1*
-X19575Y8517D1*
-X19661Y8585D1*
-X19658Y8783D1*
-X18439Y8781D1*
-X18316Y8804D1*
-X18196Y8882D1*
-X17845Y9233D1*
-X17759Y9222D1*
-X17635Y9239D1*
-X17523Y9293D1*
-X17432Y9379D1*
-X17372Y9488D1*
-X17349Y9610D1*
-X17363Y9734D1*
-X17415Y9847D1*
-X17499Y9939D1*
-X17596Y9995D1*
-X17557Y10129D1*
-X17497Y10238D1*
-X17474Y10360D1*
-X17488Y10484D1*
-X17540Y10597D1*
-X17605Y10669D1*
-X17599Y10735D1*
-X17613Y10859D1*
-X17665Y10972D1*
-X17749Y11064D1*
-X17799Y11093D1*
-X17333Y11094D1*
-X16456Y10220D1*
-X16391Y10078D1*
-X16304Y9990D1*
-X16193Y9932D1*
-X16071Y9910D1*
-X15948Y9927D1*
-X15835Y9981D1*
-X15745Y10066D1*
-X15685Y10175D1*
-X15661Y10298D1*
-X15675Y10421D1*
-X15727Y10534D1*
-X15811Y10627D1*
-X15919Y10689D1*
-X15961Y10698D1*
-X16945Y11681D1*
-X17048Y11751D1*
-X17188Y11781D1*
-X19356D1*
-X19374Y11797D1*
-X19254Y11894D1*
-X15778Y11893D1*
-X15779Y10981D1*
-X15131Y10985D1*
-X14935Y10981D1*
-X14816D1*
-X14815Y10452D1*
-X14841Y10313D1*
-X14822Y10190D1*
-X28000Y9812D2*
-X28061Y9758D1*
-X28125Y9652D1*
-X28155Y9500D1*
-X28151Y9473D1*
-X28463Y9471D1*
-X28857D1*
-X29251D1*
-X29447D1*
-X29478D1*
-X29489Y9609D1*
-X29541Y9722D1*
-X29622Y9811D1*
-X29559Y9879D1*
-X29499Y9988D1*
-X29475Y10110D1*
-X29489Y10234D1*
-X29541Y10347D1*
-X29586Y10396D1*
-X29587Y10538D1*
-X29511Y10552D1*
-X29399Y10606D1*
-X29309Y10691D1*
-X29284Y10736D1*
-X29267Y10703D1*
-X29180Y10615D1*
-X29070Y10557D1*
-X28947Y10535D1*
-X28824Y10552D1*
-X28712Y10606D1*
-X28621Y10691D1*
-X28561Y10800D1*
-X28537Y10923D1*
-X28552Y11046D1*
-X28579Y11106D1*
-X28406Y11111D1*
-X28209Y11107D1*
-X28075D1*
-Y10364D1*
-X28125Y10277D1*
-X28155Y10125D1*
-X28135Y10002D1*
-X28080Y9891D1*
-X28006Y9816D1*
-X30261Y10002D2*
-X30205Y9891D1*
-X30131Y9816D1*
-X30186Y9758D1*
-X30250Y9652D1*
-X30280Y9500D1*
-X30276Y9473D1*
-X30432Y9467D1*
-X30629Y9471D1*
-X31022D1*
-Y8545D1*
-X31911Y8544D1*
-X31909Y8791D1*
-X31774Y8868D1*
-X31698Y8966D1*
-X31661Y9089D1*
-X31659Y9293D1*
-X31624Y9363D1*
-X31601Y9481D1*
-X31524Y9538D1*
-X31405Y9656D1*
-X31343Y9748D1*
-X31319Y9864D1*
-Y11384D1*
-X31235Y11523D1*
-X31219Y11604D1*
-Y11107D1*
-X31200D1*
-X31218Y11000D1*
-X31198Y10877D1*
-X31142Y10766D1*
-X31055Y10677D1*
-X30945Y10619D1*
-X30822Y10598D1*
-X30699Y10615D1*
-X30590Y10667D1*
-X30592Y10625D1*
-X30573Y10502D1*
-X30517Y10391D1*
-X30430Y10302D1*
-X30320Y10244D1*
-X30262Y10234D1*
-X30280Y10125D1*
-X30261Y10002D1*
-X19466Y12960D2*
-X19540Y12953D1*
-X19654Y12903D1*
-X19748Y12821D1*
-X19816Y12695D1*
-X19904Y12653D1*
-X19998Y12571D1*
-X20063Y12461D1*
-X20102Y12453D1*
-X20217Y12403D1*
-X20310Y12321D1*
-X20374Y12214D1*
-X20403Y12090D1*
-X20456D1*
-X20455Y12506D1*
-X19563Y13398D1*
-X19591Y13250D1*
-X19572Y13127D1*
-X19516Y13016D1*
-X19464Y12963D1*
-X15134Y14378D2*
-X15078Y14266D1*
-X15043Y14230D1*
-X15047Y14183D1*
-X15521Y13709D1*
-X15589Y13601D1*
-X15608Y13501D1*
-Y13356D1*
-X16458Y13357D1*
-X16370Y13442D1*
-X16310Y13551D1*
-X16286Y13673D1*
-X16301Y13797D1*
-X16344Y13892D1*
-X16345Y15251D1*
-X16367Y15373D1*
-X16445Y15494D1*
-X16635Y15683D1*
-X16702Y15730D1*
-X16707Y15764D1*
-X16703Y16010D1*
-X16707Y16158D1*
-X16703Y16404D1*
-X16707Y16552D1*
-X16703Y16703D1*
-X16169Y16706D1*
-X15933Y16704D1*
-X14608Y15376D1*
-Y14880D1*
-X14728Y14903D1*
-X14852Y14890D1*
-X14966Y14841D1*
-X15060Y14759D1*
-X15124Y14652D1*
-X15153Y14501D1*
-X15134Y14378D1*
-X18206Y11095D2*
-X18310Y11008D1*
-X18374Y10902D1*
-X18387Y10845D1*
-X18439Y10795D1*
-X20630D1*
-X20613Y10852D1*
-X20425Y10856D1*
-X20476Y10852D1*
-X19913Y10856D1*
-X19970Y10852D1*
-X19658D1*
-Y11043D1*
-X19571Y11035D1*
-X19448Y11052D1*
-X19360Y11094D1*
-X18208D1*
-X12340Y14585D2*
-X12414Y14578D1*
-X12528Y14528D1*
-X12622Y14446D1*
-X12648Y14403D1*
-X12727Y14390D1*
-X12841Y14341D1*
-X12934Y14259D1*
-X12960Y14215D1*
-X13039Y14203D1*
-X13153Y14153D1*
-X13247Y14071D1*
-X13311Y13965D1*
-X13341Y13813D1*
-X13333Y13763D1*
-X13402Y13766D1*
-X13498Y13877D1*
-X13606Y13939D1*
-X13728Y13965D1*
-X13852Y13953D1*
-X13966Y13903D1*
-X14060Y13821D1*
-X14124Y13715D1*
-X14149Y13605D1*
-X14348Y13607D1*
-X14481Y13689D1*
-X14515Y13696D1*
-X14103Y14108D1*
-X13995Y14254D1*
-X13935Y14363D1*
-X13911Y14485D1*
-X13925Y14609D1*
-X13977Y14722D1*
-X14016Y14766D1*
-X14019Y15501D1*
-X14047Y15625D1*
-X14105Y15709D1*
-X15605Y17209D1*
-X15713Y17277D1*
-X15813Y17295D1*
-X16700D1*
-X16707Y17339D1*
-X16703Y17930D1*
-Y18022D1*
-X15560Y18019D1*
-X12241Y14700D1*
-X12309Y14634D1*
-X12335Y14590D1*
-X12256Y17355D2*
-X12293Y17377D1*
-X12415Y17403D1*
-X12539Y17391D1*
-X12653Y17341D1*
-X12747Y17259D1*
-X12811Y17152D1*
-X12833Y17058D1*
-X13424Y17653D1*
-X14664Y18894D1*
-X13370Y18892D1*
-X11848Y17370D1*
-X12040Y17403D1*
-X12164Y17391D1*
-X12246Y17355D1*
-X31402Y12356D2*
-X31386Y12252D1*
-X31330Y12141D1*
-X31243Y12052D1*
-X31219Y12040D1*
-Y11740D1*
-X31277Y11882D1*
-X31361Y11975D1*
-X31469Y12037D1*
-X31591Y12063D1*
-X31715Y12051D1*
-X31829Y12001D1*
-X31908Y11932D1*
-X31909Y12028D1*
-X32596Y12024D1*
-X32540Y12028D1*
-X32680D1*
-X31982Y12730D1*
-X31914Y12838D1*
-X31945Y12777D1*
-X31846Y12803D1*
-X31407Y12364D1*
-X28590Y13285D2*
-X28592Y13265D1*
-X28653Y13287D1*
-X28587D1*
-X28762Y13094D1*
-X28908Y12949D1*
-X29275Y13315D1*
-X29155Y13316D1*
-X29153Y13287D1*
-X28653D1*
-X33386Y7252D2*
-X33360Y7200D1*
-X33762Y7198D1*
-X32554Y8408D1*
-X32483Y8506D1*
-X32421Y8510D1*
-X32471Y8506D1*
-X32084D1*
-X32148Y8458D1*
-X32858Y7751D1*
-X32980Y7777D1*
-X33104Y7765D1*
-X33218Y7715D1*
-X33312Y7633D1*
-X33376Y7526D1*
-X33405Y7375D1*
-X33386Y7252D1*
-X29136Y17628D2*
-X29080Y17517D1*
-X28992Y17428D1*
-X28882Y17370D1*
-X28760Y17348D1*
-X28636Y17365D1*
-X28612Y17377D1*
-X28331Y17101D1*
-X28119Y16887D1*
-X29153D1*
-Y16860D1*
-X30351Y16858D1*
-X30364Y16922D1*
-X30416Y17035D1*
-X30500Y17127D1*
-X30608Y17189D1*
-X30730Y17215D1*
-X30853Y17203D1*
-X30968Y17154D1*
-X31061Y17072D1*
-X31125Y16965D1*
-X31144Y16885D1*
-X31614Y16887D1*
-Y16269D1*
-X31780Y16267D1*
-X31905Y16239D1*
-X31989Y16181D1*
-X32875Y15294D1*
-X32878Y16563D1*
-Y16823D1*
-X30718Y18983D1*
-X30698Y18878D1*
-X30651Y18784D1*
-X30688Y18715D1*
-X30717Y18564D1*
-X30698Y18441D1*
-X30651Y18347D1*
-X30688Y18278D1*
-X30717Y18126D1*
-X30698Y18003D1*
-X30642Y17892D1*
-X30555Y17803D1*
-X30445Y17745D1*
-X30322Y17723D1*
-X30199Y17740D1*
-X30087Y17794D1*
-X29996Y17880D1*
-X29936Y17989D1*
-X29929Y18023D1*
-X29690Y18032D1*
-X29037D1*
-X29061Y18009D1*
-X29125Y17902D1*
-X29155Y17751D1*
-X29136Y17628D1*
-X9625Y18324D2*
-X10855D1*
-Y18296D1*
-X11004D1*
-X12426Y19719D1*
-X11019Y19720D1*
-X9618Y18321D1*
-X29570Y12609D2*
-X30041Y13081D1*
-X29872Y13079D1*
-X29422Y12625D1*
-Y12434D1*
-X29482Y12521D1*
-X29570Y12609D1*
-X32734Y13688D2*
-X32737Y13183D1*
-X33496Y12423D1*
-X33491Y13095D1*
-X32732Y13855D1*
-X32734Y13688D1*
-D19*
-X41378Y13063D3*
-D20*
-X33308D3*
-D21*
-X37363Y12543D3*
-D22*
-X8000Y17313D3*
-X7488D3*
-D23*
-X8062Y9875D3*
-D24*
-X7062D3*
-D22*
-X25689Y17939D3*
-X26201D3*
-D25*
-X22126Y15251D3*
-Y15763D3*
-D22*
-X24400Y9500D3*
-X24911D3*
-D26*
-X25189Y12188D3*
-X24087D3*
-D27*
-X9186Y5399D3*
-X10186D3*
-X11186D3*
-X12186D3*
-X13186D3*
-X14186D3*
-X15186D3*
-X16186D3*
-X17186D3*
-X18186D3*
-X19186D3*
-X20186D3*
-X21186D3*
-X22186D3*
-X23186D3*
-X24186D3*
-X25186D3*
-X26186D3*
-X27186D3*
-X28186D3*
-X29186D3*
-X30186D3*
-X31186D3*
-X32186D3*
-X33186D3*
-X34186D3*
-X35186D3*
-X36186D3*
-X37186D3*
-X38186D3*
-G36*
-X25667Y20773D2*
-X26336D1*
-Y20104D1*
-X25667D1*
-Y20773D1*
-G37*
-D20*
-X27002Y20439D3*
-X28002D3*
-X29002D3*
-X30002D3*
-D22*
-X24400Y10063D3*
-X24911D3*
-X24400Y10688D3*
-X24911D3*
-D31*
-X6875Y14126D3*
-X7780D3*
-X8686D3*
-D32*
-X7780Y16409D3*
-D33*
-X10000Y18000D3*
-Y17803D3*
-Y17606D3*
-Y17409D3*
-Y17212D3*
-Y17015D3*
-Y16818D3*
-Y16622D3*
-Y16425D3*
-Y16228D3*
-Y16031D3*
-Y15834D3*
-Y15637D3*
-Y15440D3*
-Y15244D3*
-Y15047D3*
-Y14850D3*
-Y14653D3*
-Y14456D3*
-Y14259D3*
-Y14063D3*
-Y13866D3*
-Y13669D3*
-Y13472D3*
-Y13275D3*
-Y13078D3*
-Y12881D3*
-Y12685D3*
-X17559D3*
-Y12881D3*
-Y13078D3*
-Y13275D3*
-Y13472D3*
-Y13669D3*
-Y13866D3*
-Y14063D3*
-Y14259D3*
-Y14456D3*
-Y14653D3*
-Y14850D3*
-Y15047D3*
-Y15244D3*
-Y15440D3*
-Y15637D3*
-Y15834D3*
-Y16031D3*
-Y16228D3*
-Y16425D3*
-Y16622D3*
-Y16818D3*
-Y17015D3*
-Y17212D3*
-Y17409D3*
-Y17606D3*
-Y17803D3*
-Y18000D3*
-D29*
-X23377Y16563D3*
-Y16366D3*
-Y16170D3*
-Y15973D3*
-Y15776D3*
-Y15579D3*
-Y15382D3*
-Y15185D3*
-Y14989D3*
-Y14792D3*
-Y14595D3*
-Y14398D3*
-Y14201D3*
-Y14004D3*
-Y13807D3*
-Y13611D3*
-X28573D3*
-Y13807D3*
-Y14004D3*
-Y14201D3*
-Y14398D3*
-Y14595D3*
-Y14792D3*
-Y14989D3*
-Y15185D3*
-Y15382D3*
-Y15579D3*
-Y15776D3*
-Y15973D3*
-Y16170D3*
-Y16366D3*
-Y16563D3*
-X31034Y13611D3*
-Y13807D3*
-Y14004D3*
-Y14201D3*
-Y14398D3*
-Y14595D3*
-Y14792D3*
-Y14989D3*
-Y15185D3*
-Y15382D3*
-Y15579D3*
-Y15776D3*
-Y15973D3*
-Y16170D3*
-Y16366D3*
-Y16563D3*
-D35*
-X11125Y8687D3*
-X11322D3*
-X11518D3*
-X11715D3*
-X11912D3*
-X12109D3*
-X12306D3*
-X12503D3*
-X12700D3*
-X12896D3*
-X13093D3*
-X13290D3*
-X13487D3*
-X13684D3*
-X13881D3*
-X14077D3*
-X14274D3*
-X14471D3*
-X14668D3*
-X14865D3*
-X15062D3*
-X15259D3*
-X15455D3*
-X15652D3*
-Y11640D3*
-X15455D3*
-X15259D3*
-X15062D3*
-X14865D3*
-X14668D3*
-X14471D3*
-X14274D3*
-X14077D3*
-X13881D3*
-X13684D3*
-X13487D3*
-X13290D3*
-X13093D3*
-X12896D3*
-X12700D3*
-X12503D3*
-X12306D3*
-X12109D3*
-X11912D3*
-X11715D3*
-X11518D3*
-X11322D3*
-X11125D3*
-X26564Y8813D3*
-X26761D3*
-X26958D3*
-X27155D3*
-X27352D3*
-X27549D3*
-X27745D3*
-X27942D3*
-X28139D3*
-X28336D3*
-X28533D3*
-X28730D3*
-X28927D3*
-X29123D3*
-X29320D3*
-X29517D3*
-X29714D3*
-X29911D3*
-X30108D3*
-X30305D3*
-X30501D3*
-X30698D3*
-X30895D3*
-X31092D3*
-Y11765D3*
-X30895D3*
-X30698D3*
-X30501D3*
-X30305D3*
-X30108D3*
-X29911D3*
-X29714D3*
-X29517D3*
-X29320D3*
-X29123D3*
-X28927D3*
-X28730D3*
-X28533D3*
-X28336D3*
-X28139D3*
-X27942D3*
-X27745D3*
-X27549D3*
-X27352D3*
-X27155D3*
-X26958D3*
-X26761D3*
-X26564D3*
-D36*
-X20001Y9188D3*
-X20257D3*
-X20513D3*
-X20769D3*
-X21025D3*
-X21281D3*
-X21537D3*
-X21793D3*
-X22048D3*
-X22304D3*
-X22560D3*
-X22816D3*
-Y11471D3*
-X22560D3*
-X22304D3*
-X22048D3*
-X21793D3*
-X21537D3*
-X21281D3*
-X21025D3*
-X20769D3*
-X20513D3*
-X20257D3*
-X20001D3*
-X32252Y9125D3*
-X32508D3*
-X32764D3*
-X33020D3*
-X33276D3*
-X33532D3*
-X33788D3*
-X34044D3*
-X34300D3*
-X34556D3*
-X34812D3*
-X35067D3*
-Y11409D3*
-X34812D3*
-X34556D3*
-X34300D3*
-X34044D3*
-X33788D3*
-X33532D3*
-X33276D3*
-X33020D3*
-X32764D3*
-X32508D3*
-X32252D3*
-M02*
+G04 DipTrace 2.4.0.2*
+%INTop.gbr*%
+%MOIN*%
+%ADD13C,0.0059*%
+%ADD14C,0.0157*%
+%ADD15C,0.0118*%
+%ADD17C,0.025*%
+%ADD19R,0.0748X0.0748*%
+%ADD20C,0.0669*%
+%ADD21R,0.0787X0.1575*%
+%ADD22R,0.0354X0.0276*%
+%ADD23R,0.063X0.063*%
+%ADD24C,0.063*%
+%ADD25R,0.0276X0.0354*%
+%ADD26R,0.063X0.0709*%
+%ADD27R,0.0709X0.2559*%
+%ADD29R,0.063X0.0118*%
+%ADD31R,0.0374X0.0846*%
+%ADD32R,0.128X0.0846*%
+%ADD33R,0.1181X0.0118*%
+%ADD35R,0.0118X0.0787*%
+%ADD36R,0.0157X0.0709*%
+%ADD37C,0.0276*%
+%FSLAX44Y44*%
+G04*
+G70*
+G90*
+G75*
+G01*
+%LNTop*%
+%LPD*%
+X7780Y14126D2*
+D14*
+X7812D1*
+Y13250D1*
+Y10125D1*
+X8062Y9875D1*
+X32002Y10125D2*
+X32252D1*
+Y11409D1*
+X32508D1*
+X16063Y10313D2*
+X17188Y11438D1*
+X19564D1*
+X19968D1*
+X20001Y11471D1*
+X19564Y11438D2*
+X19597Y11471D1*
+X20257D1*
+X16688Y13688D2*
+Y15251D1*
+X16878Y15440D1*
+D15*
+X17559D1*
+X18501Y12563D2*
+D14*
+Y12625D1*
+D15*
+X18442Y12685D1*
+X17559D1*
+X10000Y15440D2*
+X9152D1*
+D14*
+Y13250D1*
+X7812D1*
+X14471Y11640D2*
+D15*
+Y11167D1*
+D14*
+Y10346D1*
+X14438Y10313D1*
+X12306Y11640D2*
+D15*
+Y11167D1*
+D14*
+X12313D1*
+Y10313D1*
+X29911Y11765D2*
+D15*
+Y10159D1*
+D14*
+X29877Y10125D1*
+X27745Y11765D2*
+D15*
+X27752Y10125D1*
+X23377Y15185D2*
+X22983D1*
+D14*
+X22689D1*
+X22192D1*
+X22126Y15251D1*
+X23377Y15579D2*
+D15*
+X22983D1*
+D14*
+X22689D1*
+Y15185D1*
+X22626Y15188D2*
+X22689Y15185D1*
+X9152Y15440D2*
+Y18340D1*
+X10875Y20064D1*
+X23461D1*
+X23939D1*
+X25627Y18376D1*
+X25689D1*
+X30065D1*
+X30315Y18126D1*
+X23189Y20064D2*
+X23461D1*
+X25689Y17939D2*
+Y18376D1*
+X8000Y17313D2*
+X8686D1*
+Y18314D1*
+Y16566D1*
+Y15126D1*
+Y14126D1*
+Y15126D2*
+X6750D1*
+X14471Y8687D2*
+D15*
+Y9206D1*
+D14*
+Y9655D1*
+X14438Y9688D1*
+X12306Y8687D2*
+D15*
+Y9206D1*
+D14*
+Y9681D1*
+X12313Y9688D1*
+X29911Y8813D2*
+D15*
+Y9466D1*
+D14*
+X29877Y9500D1*
+X27745Y8813D2*
+D15*
+Y9494D1*
+X27752Y9500D1*
+X38187Y7437D2*
+D14*
+Y5400D1*
+X38186Y5399D1*
+X32002Y9500D2*
+Y9125D1*
+X32252D1*
+X17751Y9625D2*
+X17938D1*
+X18439Y9125D1*
+X20001D1*
+Y9188D1*
+X8686Y18314D2*
+X10811Y20439D1*
+X24064D1*
+X25814Y18689D1*
+X30190D1*
+X30315Y18564D1*
+X8688Y16313D2*
+X8686Y16566D1*
+X8312Y17876D2*
+Y18376D1*
+X10750Y20814D1*
+X24189D1*
+X26002Y19001D1*
+X30315D1*
+X26186Y5399D2*
+D13*
+Y7065D1*
+X25877Y7375D1*
+X14274Y11640D2*
+Y12149D1*
+X14376Y12250D1*
+X14626D1*
+X15188Y12813D1*
+Y13438D1*
+X14313Y14313D1*
+Y14501D1*
+Y15501D1*
+X15813Y17001D1*
+X17544D1*
+X17559Y17015D1*
+X14313Y14501D2*
+D3*
+X21186Y5399D2*
+Y6690D1*
+X20501Y7375D1*
+X16813D1*
+X16501Y7687D1*
+X16251D1*
+X16188Y7625D1*
+X14813D1*
+X14274Y8164D1*
+Y8687D1*
+X11912Y11640D2*
+Y12651D1*
+X11875Y12688D1*
+X10000Y16425D2*
+X11549D1*
+X12125Y17001D1*
+X12063D1*
+X11186Y5399D2*
+Y6686D1*
+X11912Y7412D1*
+Y8687D1*
+X11518Y11640D2*
+Y12813D1*
+X11500D1*
+X10000Y16622D2*
+X11434D1*
+X11688Y16876D1*
+Y17001D1*
+X10186Y5399D2*
+Y6686D1*
+X11000Y7500D1*
+X11188D1*
+X11518Y7831D1*
+Y8687D1*
+X11322Y11640D2*
+Y12367D1*
+X11063Y12625D1*
+Y12688D1*
+X11125D1*
+X10000Y16818D2*
+X11255D1*
+X11313Y16876D1*
+X11188Y7937D2*
+X11322D1*
+Y8687D1*
+X14668Y11640D2*
+Y12105D1*
+X15313Y12750D1*
+Y13063D1*
+X17544D1*
+X17559Y13078D1*
+X15313Y13063D2*
+Y13501D1*
+X14751Y14063D1*
+Y14501D1*
+X14865Y8687D2*
+Y8198D1*
+X15001Y8062D1*
+X14865Y11640D2*
+Y12115D1*
+X15063Y12313D1*
+X19689D1*
+X10000Y17015D2*
+X11077D1*
+X13250Y19189D1*
+X23189D1*
+X23877Y18501D1*
+Y18001D1*
+X15259Y8687D2*
+Y8125D1*
+X15313D1*
+X15376Y8062D1*
+X15259Y11640D2*
+Y12133D1*
+X15313Y12188D1*
+X19376D1*
+X19501Y12063D1*
+X20001D1*
+X10000Y17212D2*
+X11086D1*
+X13188Y19314D1*
+X23314D1*
+X24127Y18501D1*
+Y18314D1*
+X10000Y17409D2*
+X11096D1*
+X13125Y19439D1*
+X23377D1*
+X24439Y18376D1*
+Y18001D1*
+X20186Y5399D2*
+Y6690D1*
+X19626Y7250D1*
+X16626D1*
+X16438Y7437D1*
+X16376D1*
+X15938Y7937D2*
+X15876D1*
+X15688Y7750D1*
+X14938D1*
+X14668Y8020D1*
+Y8687D1*
+X10000Y17606D2*
+X11105D1*
+X13063Y19564D1*
+X23439D1*
+X24689Y18314D1*
+X17559Y17606D2*
+X22481D1*
+X22876Y18001D1*
+X10000Y14456D2*
+X11581D1*
+X15438Y18314D1*
+X21626D1*
+X10000Y14653D2*
+X11590D1*
+X15501Y18564D1*
+X21876D1*
+X22126Y18314D1*
+X10000Y16031D2*
+X12218D1*
+X15251Y19064D1*
+X23002D1*
+X23627Y18439D1*
+Y18314D1*
+X10000Y15834D2*
+X12209D1*
+X15313Y18939D1*
+X22876D1*
+X23377Y18439D1*
+Y18001D1*
+X10000Y15244D2*
+X11993D1*
+X15438Y18689D1*
+X22251D1*
+X22626Y18314D1*
+X13684Y11640D2*
+Y12246D1*
+X14376Y12938D1*
+Y13000D1*
+X14188Y13188D1*
+X11750D1*
+X11641Y13078D1*
+X10000D1*
+Y17803D2*
+X11114D1*
+X13000Y19689D1*
+X23689D1*
+X24939Y18439D1*
+Y18001D1*
+X10000Y18000D2*
+X11124D1*
+X12938Y19814D1*
+X23814D1*
+X25189Y18439D1*
+Y18314D1*
+X17559Y18000D2*
+X21876D1*
+Y18001D1*
+X19186Y5399D2*
+Y6689D1*
+X18751Y7125D1*
+X16313D1*
+X15938Y7500D1*
+X14188D1*
+X14001Y7687D1*
+Y7875D1*
+X13684Y8192D1*
+Y8687D1*
+X17559Y17803D2*
+X21637D1*
+X21689Y17751D1*
+X22126D1*
+X22376Y18001D1*
+X14077Y11640D2*
+Y12327D1*
+X14626Y12875D1*
+Y13313D1*
+X11125D1*
+X11087Y13275D1*
+X10000D1*
+X18186Y5399D2*
+Y6689D1*
+X17876Y7000D1*
+X16251D1*
+X15876Y7375D1*
+X14126D1*
+X13751Y7750D1*
+X14251Y7812D2*
+X14077Y7986D1*
+Y8687D1*
+X13487Y11640D2*
+Y12299D1*
+X13938Y12750D1*
+Y12938D1*
+X10000Y13472D2*
+X13659D1*
+X13751Y13563D1*
+X17186Y5399D2*
+Y6689D1*
+X17001Y6875D1*
+X16188D1*
+X15813Y7250D1*
+X13813D1*
+X13487Y7576D1*
+Y8687D1*
+X13290Y11640D2*
+Y12415D1*
+X13438Y12563D1*
+Y12938D1*
+X10000Y13669D2*
+X12794D1*
+X12938Y13813D1*
+X16186Y5399D2*
+Y5756D1*
+X16068Y5875D1*
+X16187D1*
+Y6688D1*
+X15751Y7125D1*
+X13688D1*
+X13290Y7523D1*
+Y8687D1*
+X13093Y11640D2*
+Y12406D1*
+X13125Y12438D1*
+Y12688D1*
+X10000Y13866D2*
+X12625D1*
+Y14001D1*
+X15186Y5399D2*
+Y6689D1*
+X14876Y7000D1*
+X13626D1*
+X13093Y7532D1*
+Y8687D1*
+X12700Y11640D2*
+Y12512D1*
+X12813Y12625D1*
+Y12938D1*
+X10000Y14063D2*
+X12187D1*
+X12313Y14188D1*
+X14186Y5399D2*
+Y6689D1*
+X14001Y6875D1*
+X13375D1*
+X12700Y7551D1*
+Y8687D1*
+X12503Y11640D2*
+X12500Y12688D1*
+X10000Y14259D2*
+X11884D1*
+X12000Y14376D1*
+X13186Y5399D2*
+Y6689D1*
+X12503Y7373D1*
+Y8687D1*
+X12109Y11640D2*
+Y12296D1*
+X12188Y12375D1*
+Y12938D1*
+X10000Y16228D2*
+X11727D1*
+X12500Y17001D1*
+X12438D1*
+X12186Y5399D2*
+Y7376D1*
+X12109Y7454D1*
+Y8687D1*
+X17559Y13866D2*
+X19189D1*
+X19511D1*
+X20751Y12625D1*
+Y11489D1*
+X20769Y11471D1*
+X19189Y13876D2*
+Y13866D1*
+X20513Y9188D2*
+X20501D1*
+Y8562D1*
+X20689D1*
+X20626Y8500D1*
+X17559Y14259D2*
+X18439D1*
+X19305D1*
+X21001Y12563D1*
+Y11495D1*
+X21025Y11471D1*
+X18439Y14188D2*
+Y14259D1*
+X20769Y9188D2*
+X20751D1*
+Y8750D1*
+X20876Y8625D1*
+Y8312D1*
+X20814Y8250D1*
+X20251D1*
+Y8375D1*
+X17559Y14653D2*
+X18751D1*
+X19099D1*
+X21251Y12500D1*
+Y11500D1*
+X21281Y11471D1*
+X18753Y14642D2*
+Y14653D1*
+X21025Y9188D2*
+X21001D1*
+Y8250D1*
+X20876Y8125D1*
+X20001D1*
+X19876Y8250D1*
+X17559Y15047D2*
+X18439D1*
+X18892D1*
+X21501Y12438D1*
+Y11436D1*
+X21537Y11471D1*
+X18439Y15063D2*
+Y15047D1*
+X21281Y9188D2*
+X21251D1*
+Y8312D1*
+X20939Y8000D1*
+X19626D1*
+X19501Y8125D1*
+X18439Y15501D2*
+X18376D1*
+X18239Y15637D1*
+X17559D1*
+X18439Y15501D2*
+X18626D1*
+X21814Y12313D1*
+Y11492D1*
+X21793Y11471D1*
+X21537Y9188D2*
+X21564D1*
+Y8437D1*
+X21001Y7875D1*
+X19251D1*
+X19126Y8000D1*
+X17559Y16031D2*
+X18376D1*
+X18283D1*
+X22064Y12250D1*
+Y11456D1*
+X22048Y11471D1*
+X18376Y15938D2*
+Y16031D1*
+X21793Y9188D2*
+X21814D1*
+Y8500D1*
+X21064Y7750D1*
+X18939D1*
+X18751Y7937D1*
+X17559Y16425D2*
+X18765D1*
+X18939Y16251D1*
+Y15563D1*
+X22314Y12188D1*
+Y11481D1*
+X22304Y11471D1*
+X18814Y16438D2*
+X18800Y16425D1*
+X18765D1*
+X22048Y9188D2*
+X22064D1*
+Y8562D1*
+X21126Y7625D1*
+X18626D1*
+X18376Y7875D1*
+Y7937D1*
+X17559Y16818D2*
+X18871D1*
+X19064Y16626D1*
+Y15626D1*
+X22560Y12129D1*
+Y11471D1*
+X18376Y16813D2*
+X18871Y16818D1*
+X22304Y9188D2*
+X22314D1*
+Y8625D1*
+X21189Y7500D1*
+X18189D1*
+X18001Y7687D1*
+Y7937D1*
+X22186Y5399D2*
+Y6747D1*
+X22814Y7375D1*
+X17559Y13275D2*
+X18226D1*
+X18939Y12563D1*
+X19439D1*
+X17559Y13669D2*
+X18770D1*
+X19189Y13250D1*
+X20257Y9188D2*
+Y9744D1*
+X20251Y9750D1*
+X10000Y15637D2*
+X12199D1*
+X15376Y18814D1*
+X22626D1*
+X23127Y18314D1*
+X15652Y11640D2*
+D15*
+Y10000D1*
+X16501D1*
+D14*
+X17220Y10719D1*
+X17626Y11125D1*
+X19564D1*
+X19939Y10750D1*
+X20513D1*
+X21376D1*
+X22816D1*
+Y11471D1*
+X15652Y10000D2*
+X15062D1*
+X13881D1*
+X12896D1*
+X11125D1*
+Y10250D1*
+X9186D1*
+Y5399D1*
+X12896Y11640D2*
+D15*
+Y10000D1*
+Y8687D2*
+Y10000D1*
+X11125Y11640D2*
+Y10250D1*
+Y8687D2*
+Y10000D1*
+X6875Y14126D2*
+D14*
+Y10063D1*
+X7062Y9875D1*
+X24400Y10063D2*
+X24377D1*
+Y9500D1*
+Y9188D1*
+Y8500D1*
+X24186Y8310D1*
+Y5399D1*
+X30895Y8813D2*
+D13*
+X31092D1*
+X28336Y11765D2*
+D15*
+Y9813D1*
+Y8813D1*
+X30501Y11765D2*
+Y10500D1*
+Y9813D1*
+Y8813D1*
+X13881Y8687D2*
+Y10000D1*
+X15062Y8687D2*
+Y10000D1*
+X29320Y11765D2*
+Y11125D1*
+Y9813D1*
+Y8813D1*
+X15062Y11640D2*
+Y10000D1*
+X24400Y9500D2*
+D14*
+X24377D1*
+X26564Y11765D2*
+D15*
+Y9875D1*
+Y9813D1*
+Y8813D1*
+X22560Y9188D2*
+D14*
+X22816D1*
+X32764Y11409D2*
+Y10313D1*
+X34812D1*
+X35067D1*
+Y11409D1*
+X34812Y9125D2*
+Y10313D1*
+X35067D2*
+Y9125D1*
+X15652Y8687D2*
+D15*
+X15455D1*
+X13881Y11640D2*
+Y10000D1*
+X20513Y11471D2*
+D14*
+Y10750D1*
+X18439Y13438D2*
+X18405Y13472D1*
+D15*
+X17559D1*
+X20513Y10762D2*
+D14*
+X20501Y10750D1*
+X17559Y17409D2*
+D15*
+X18501D1*
+Y17313D1*
+Y17212D1*
+X17559D1*
+X22816Y9188D2*
+D14*
+X24377D1*
+X27155Y11765D2*
+D15*
+Y9813D1*
+Y8813D1*
+X24377Y8500D2*
+D14*
+X25189D1*
+X26564Y9875D1*
+Y9813D2*
+X27155D1*
+X28336D1*
+X29320D1*
+X30501D1*
+X31034Y14989D2*
+D15*
+X30640D1*
+D14*
+X28967D1*
+D15*
+X28573D1*
+X31034Y16170D2*
+X30640D1*
+D14*
+X28967D1*
+D15*
+X28573D1*
+X31034Y14989D2*
+X31428D1*
+D14*
+X31640D1*
+X31690Y14938D1*
+X26564Y11765D2*
+D15*
+X26427D1*
+D14*
+X26392D1*
+X26314Y11688D1*
+X22816Y11471D2*
+Y12128D1*
+X22876Y12188D1*
+X18501Y17313D2*
+X19689D1*
+X19939Y17063D1*
+X31690Y16251D2*
+D15*
+Y16170D1*
+X31034D1*
+X37363Y12543D2*
+D14*
+X36585D1*
+X36565Y12563D1*
+X37363Y12543D2*
+X38108D1*
+X38128Y12563D1*
+X17559Y13472D2*
+D15*
+X16847D1*
+X16751Y13375D1*
+D14*
+X15751D1*
+X18439Y13438D2*
+X19001Y12875D1*
+X19939D1*
+X20501Y12313D1*
+Y11483D1*
+X20513Y11471D1*
+X27127Y9813D2*
+D15*
+X27155D1*
+X22876Y12188D2*
+D14*
+X21814Y13250D1*
+Y14313D1*
+X21564Y14563D1*
+Y15563D1*
+X30627Y10500D2*
+D15*
+X30501D1*
+X29252Y11125D2*
+X29320D1*
+X30065Y16813D2*
+D14*
+X28127D1*
+Y16876D1*
+X11715Y11640D2*
+D15*
+Y11167D1*
+D14*
+Y10500D1*
+Y9403D1*
+X11688Y9375D1*
+X29320Y11765D2*
+D15*
+Y12381D1*
+D14*
+X29940Y13000D1*
+X11715Y8687D2*
+D15*
+Y9159D1*
+D14*
+Y9410D1*
+X11688Y9383D2*
+Y9375D1*
+X21376Y10813D2*
+Y10750D1*
+X21564Y16876D2*
+X25877D1*
+X26877Y17876D1*
+X28190D1*
+X28377Y18063D1*
+X29065D1*
+X29252Y17876D1*
+Y17251D1*
+X28877Y16876D1*
+X28127D1*
+X30440Y19751D2*
+Y19314D1*
+X30752Y19001D1*
+Y17813D1*
+X30565Y17626D1*
+X30315D1*
+X30065Y17876D1*
+X32764Y11409D2*
+Y11864D1*
+X32628Y12000D1*
+X31440D1*
+X31315Y11875D1*
+Y11438D1*
+X31690Y16251D2*
+X31752D1*
+X34628Y13375D1*
+X34753D1*
+X17559Y17409D2*
+D15*
+X16447D1*
+X15346D1*
+X13688Y15751D1*
+Y14688D1*
+X14938Y13438D1*
+Y13063D1*
+X31690Y14938D2*
+D14*
+X33315Y13313D1*
+Y13070D1*
+X33308Y13063D1*
+X17063Y10563D2*
+X17220Y10719D1*
+X11688Y10500D2*
+X11715D1*
+X17559Y17212D2*
+D15*
+X16644D1*
+X16447Y17409D1*
+X23186Y5399D2*
+D13*
+X23189Y7375D1*
+X24911Y10688D2*
+X24877D1*
+Y11000D1*
+X24627Y11250D1*
+X24087Y11790D1*
+Y12188D1*
+X24627Y11675D2*
+Y11250D1*
+X18001Y10000D2*
+X20439D1*
+X20689Y9750D1*
+X23439D1*
+X24400Y10711D1*
+Y10688D1*
+X26761Y11765D2*
+X26752D1*
+Y10500D1*
+X26877D1*
+X26752Y7375D2*
+Y8822D1*
+X26761Y8813D1*
+X25186Y5399D2*
+Y7185D1*
+X25377Y7375D1*
+X31034Y16563D2*
+X30704D1*
+X28573D1*
+X31614Y11661D2*
+Y9864D1*
+X31732Y9746D1*
+X32390D1*
+X32508Y9628D1*
+Y9125D1*
+X30752Y16813D2*
+Y16612D1*
+X30704Y16563D1*
+X23377Y15776D2*
+X26965D1*
+X27377Y16188D1*
+X27502D1*
+X31034Y14004D2*
+X31499D1*
+X31690Y13813D1*
+Y13313D1*
+X31002Y12625D1*
+X30565D1*
+X30315Y12375D1*
+Y11776D1*
+X30305Y11765D1*
+X31034Y14004D2*
+X28573D1*
+X30752Y12625D2*
+X31002D1*
+X33186Y5399D2*
+Y6753D1*
+X31815Y8125D1*
+X30440D1*
+X30305Y8260D1*
+Y8813D1*
+X29690Y7375D2*
+X28927Y8138D1*
+Y8813D1*
+Y11765D2*
+Y10951D1*
+X28940Y10938D1*
+X23377Y16563D2*
+X26002D1*
+X27002Y17563D1*
+X31065Y7375D2*
+X30315D1*
+X29517Y8173D1*
+Y8813D1*
+Y11765D2*
+Y11048D1*
+X29627Y10938D1*
+X23377Y16366D2*
+X26368D1*
+X27314Y17313D1*
+X32065Y7375D2*
+X31440Y8000D1*
+X30315D1*
+X30108Y8207D1*
+Y8813D1*
+Y11765D2*
+Y10707D1*
+X30190Y10625D1*
+X23377Y16170D2*
+X26858D1*
+X27377Y16688D1*
+X27439D1*
+X31034Y13807D2*
+X31508D1*
+X31565Y13751D1*
+Y13438D1*
+X31002Y12875D1*
+X30627D1*
+X30252D1*
+X29690Y12313D1*
+Y11790D1*
+X29714Y11765D1*
+X31034Y13807D2*
+X28573D1*
+X30502Y12875D2*
+X30627D1*
+X33003Y7375D2*
+X32815D1*
+X31940Y8250D1*
+X30752D1*
+X30698Y8304D1*
+Y8813D1*
+Y11765D2*
+Y11117D1*
+X30815Y11000D1*
+X23377Y15973D2*
+X26911D1*
+X27377Y16438D1*
+X27752D1*
+X23377Y15382D2*
+X26821D1*
+X27377Y15938D1*
+X27877D1*
+X23377Y14989D2*
+X26677D1*
+X27377Y15688D1*
+X27564D1*
+X32186Y5399D2*
+Y6753D1*
+X31065Y7875D1*
+X30065D1*
+X29690Y8250D1*
+Y8813D1*
+X29714D1*
+X31034Y16366D2*
+X28573D1*
+X28199D1*
+X27815Y16751D1*
+Y17001D1*
+X28565Y17751D1*
+X28752D1*
+X28573Y14201D2*
+X31034D1*
+X31002Y12375D2*
+X31815Y13188D1*
+Y13876D1*
+X31489Y14201D1*
+X31034D1*
+Y13611D2*
+X30440D1*
+Y13375D1*
+X29752D1*
+X29127Y12750D1*
+Y11769D1*
+X29123Y11765D1*
+X31034Y13611D2*
+X28573D1*
+X30440Y13375D2*
+D3*
+X31186Y5399D2*
+Y6753D1*
+X30998Y6942D1*
+X30498D1*
+X29123Y8316D1*
+Y8813D1*
+X28730Y11765D2*
+Y12710D1*
+X28377Y13063D1*
+X27829Y13611D1*
+X23377D1*
+X28190Y13250D2*
+X28377Y13063D1*
+X30186Y5399D2*
+Y6753D1*
+X30002Y6937D1*
+X29752D1*
+X28752Y7937D1*
+Y8813D1*
+X28730D1*
+X28139Y11765D2*
+Y12551D1*
+X27502Y13188D1*
+X23002D1*
+X22751Y13438D1*
+Y13813D1*
+X22943Y14004D1*
+X23377D1*
+X27381D1*
+X27502Y14126D1*
+X27940D1*
+X29186Y5399D2*
+Y6753D1*
+X28139Y7800D1*
+Y8813D1*
+X27549Y11765D2*
+Y12391D1*
+X27002Y12938D1*
+X22876D1*
+X22501Y13313D1*
+Y14063D1*
+X22836Y14398D1*
+X23377D1*
+X27274D1*
+X27502Y14626D1*
+X28002D1*
+X28186Y5399D2*
+Y7003D1*
+X27564Y7625D1*
+Y8813D1*
+X27549D1*
+X26958Y11765D2*
+Y12232D1*
+X26502Y12688D1*
+X22751D1*
+X22251Y13188D1*
+Y14313D1*
+X22751Y14813D1*
+X23398D1*
+X23377Y14792D1*
+X26730D1*
+X27377Y15438D1*
+X27877D1*
+X27186Y5399D2*
+Y7315D1*
+X26939Y7562D1*
+Y8813D1*
+X26958D1*
+X27352Y11765D2*
+Y12213D1*
+X26752Y12813D1*
+X22814D1*
+X22376Y13250D1*
+Y14188D1*
+X22783Y14595D1*
+X23377D1*
+X26784D1*
+X27377Y15188D1*
+X27564D1*
+X27439Y7375D2*
+X27352D1*
+Y8813D1*
+X27942Y11765D2*
+Y12373D1*
+X27252Y13063D1*
+X22939D1*
+X22626Y13375D1*
+Y13938D1*
+X22890Y14201D1*
+X23377D1*
+X27328D1*
+X27502Y14376D1*
+X27627D1*
+X28190Y7375D2*
+X27942Y7622D1*
+Y8813D1*
+X28533Y11765D2*
+Y12532D1*
+X27752Y13313D1*
+X23064D1*
+X22876Y13501D1*
+Y13688D1*
+X22996Y13807D1*
+X23377D1*
+X27559D1*
+X27627Y13876D1*
+X28940Y7375D2*
+X28533Y7782D1*
+Y8813D1*
+X31034Y14398D2*
+X31480D1*
+X32190Y13688D1*
+Y12938D1*
+X33020Y12108D1*
+Y11409D1*
+X31034Y14398D2*
+X28573D1*
+X32764Y9125D2*
+Y8613D1*
+X34190Y7187D1*
+Y5403D1*
+X34186Y5399D1*
+X31034Y14792D2*
+X31461D1*
+X32440Y13813D1*
+Y13063D1*
+X33532Y11971D1*
+Y11409D1*
+X31034Y14792D2*
+X28573D1*
+X33276Y9125D2*
+X33253D1*
+Y8625D1*
+X34131Y7746D1*
+X34694D1*
+X35186Y7254D1*
+Y5399D1*
+X31034Y15382D2*
+X31808D1*
+X34044Y13147D1*
+Y11409D1*
+X31034Y15382D2*
+X28573D1*
+X33788Y9125D2*
+X33815D1*
+Y8625D1*
+X34440Y8000D1*
+X35378D1*
+X36186Y7191D1*
+Y5399D1*
+X31034Y15776D2*
+X31790D1*
+X34556Y13010D1*
+Y11409D1*
+X31034Y15776D2*
+X28573D1*
+X37186Y5399D2*
+Y7066D1*
+X36003Y8250D1*
+X34690D1*
+X34300Y8640D1*
+Y9125D1*
+X31034Y15973D2*
+X31780D1*
+X34812Y12942D1*
+Y11409D1*
+X31034Y15973D2*
+X28573D1*
+X37566Y7500D2*
+X37128D1*
+X36253Y8375D1*
+X34815D1*
+X34556Y8635D1*
+Y9125D1*
+X31034Y15579D2*
+X31799D1*
+X34300Y13078D1*
+Y11409D1*
+X31034Y15579D2*
+X28573D1*
+X34044Y9125D2*
+Y8646D1*
+X34565Y8125D1*
+X35690D1*
+X36315Y7500D1*
+X31034Y15185D2*
+X31818D1*
+X33788Y13215D1*
+Y11409D1*
+X31034Y15185D2*
+X28573D1*
+X33532Y9125D2*
+X33565D1*
+Y8625D1*
+X34315Y7875D1*
+X34878D1*
+X35253Y7500D1*
+X35440D1*
+X31034Y14595D2*
+X31471D1*
+X32315Y13751D1*
+Y13000D1*
+X33276Y12039D1*
+Y11409D1*
+X31034Y14595D2*
+X28573D1*
+X33020Y9125D2*
+Y8607D1*
+X34128Y7500D1*
+X34565D1*
+X24911Y10063D2*
+Y10090D1*
+X24939Y10063D1*
+X25252D1*
+Y12188D1*
+X25189D1*
+Y12438D1*
+X25689D1*
+X24911Y9500D2*
+Y10063D1*
+X18001Y10750D2*
+X18063D1*
+X18314Y10500D1*
+X20751D1*
+X20814Y10438D1*
+X17876Y10375D2*
+X18126D1*
+X18376Y10125D1*
+X21876D1*
+D37*
+X25689Y12438D3*
+X11188Y7937D3*
+X38187Y7437D3*
+X6750Y15126D3*
+X12313Y10313D3*
+X14438D3*
+X12313Y9688D3*
+X14438D3*
+X27752Y9500D3*
+Y10125D3*
+X29877D3*
+Y9500D3*
+X27439Y7375D3*
+X28190D3*
+X28940D3*
+X19876Y8250D3*
+X19439Y12563D3*
+X14751Y14501D3*
+X18001Y10750D3*
+X19189Y13250D3*
+X19689Y12313D3*
+X25689Y18376D3*
+X21876Y10125D3*
+X17876Y10375D3*
+X20814Y10438D3*
+X19189Y13876D3*
+X18439Y14188D3*
+X18753Y14642D3*
+X18439Y15063D3*
+Y15501D3*
+X18376Y15938D3*
+X11500Y12813D3*
+X11875Y12688D3*
+X12188Y12938D3*
+X12500Y12688D3*
+X12313Y14188D3*
+X13125Y12688D3*
+X13438Y12938D3*
+X13938D3*
+X14376Y13000D3*
+X14626Y13313D3*
+X20501Y10750D3*
+X13751Y13563D3*
+X12938Y13813D3*
+X12813Y12938D3*
+X11125Y12688D3*
+X12625Y14001D3*
+X12000Y14376D3*
+X12438Y17001D3*
+X12063D3*
+X11688D3*
+X11313Y16876D3*
+X16063Y10313D3*
+X17751Y9625D3*
+X16376Y7437D3*
+X15938Y7937D3*
+X18814Y16438D3*
+X32002Y9500D3*
+X34565Y7500D3*
+X35440D3*
+X36315D3*
+X37566D3*
+X18376Y16813D3*
+X23877Y18001D3*
+X24127Y18314D3*
+X14313Y14501D3*
+X26877Y10500D3*
+X28940Y10938D3*
+X13751Y7750D3*
+X14251Y7812D3*
+X20251Y9750D3*
+X15001Y14188D3*
+X8312Y17876D3*
+X20001Y12063D3*
+X19564Y11438D3*
+X16688Y13688D3*
+X29627Y10938D3*
+X30190Y10625D3*
+X24627Y11675D3*
+X30815Y11000D3*
+X31002Y12375D3*
+X30752Y12625D3*
+X30502Y12875D3*
+X30440Y13375D3*
+X28190Y13250D3*
+X18501Y12563D3*
+X26503Y8033D3*
+X26752Y7375D3*
+X29690D3*
+X31065D3*
+X32065D3*
+X33003D3*
+X21626Y18314D3*
+X22126D3*
+X22626D3*
+X27627Y13876D3*
+X27940Y14126D3*
+X27627Y14376D3*
+X28002Y14626D3*
+X27564Y15188D3*
+X27877Y15438D3*
+X18001Y10000D3*
+X15001Y8062D3*
+X15376D3*
+X24689Y18314D3*
+X24439Y18001D3*
+X27564Y15688D3*
+X27877Y15938D3*
+X24939Y18001D3*
+X25189Y18314D3*
+X27502Y16188D3*
+X27752Y16438D3*
+X27439Y16688D3*
+X23377Y18001D3*
+X23627Y18314D3*
+X23127D3*
+X8688Y16313D3*
+X27314Y17313D3*
+X32002Y10125D3*
+X27002Y17563D3*
+X31690Y14938D3*
+X10375Y18439D3*
+X28752Y17751D3*
+X29940Y13000D3*
+X26314Y11688D3*
+X22814Y7375D3*
+X23189D3*
+X25377D3*
+X25877D3*
+X22626Y15188D3*
+X21564Y15563D3*
+X21376Y10813D3*
+X22876Y12188D3*
+X19939Y17063D3*
+X21564Y16876D3*
+X23627Y10375D3*
+X43004Y8688D3*
+X31690Y16251D3*
+X36565Y12563D3*
+X38128D3*
+X19501Y10875D3*
+X23189Y20064D3*
+X30315Y18126D3*
+Y18564D3*
+Y19001D3*
+X15751Y13375D3*
+X21376Y7250D3*
+X21939Y7625D3*
+X22376Y18001D3*
+X22876D3*
+X21876D3*
+X35190Y8875D3*
+X37316Y7937D3*
+X32725Y8090D3*
+X31287Y8834D3*
+X27127Y9813D3*
+X25803Y9919D3*
+X17938Y8437D3*
+X30065Y16813D3*
+X15938Y8375D3*
+X18439Y13438D3*
+X17001Y7625D3*
+X17126Y9125D3*
+X15688Y9375D3*
+X11688D3*
+X9750Y7375D3*
+X8750D3*
+X17063Y10563D3*
+X13375Y10500D3*
+X15251D3*
+X7187Y13375D3*
+X31315Y11438D3*
+X30627Y10500D3*
+X29252Y11125D3*
+X28127Y16876D3*
+X11438Y19501D3*
+X16376Y14126D3*
+X9750Y12125D3*
+X13375Y9375D3*
+X13438Y18939D3*
+X28815Y13125D3*
+X23689Y7375D3*
+X24439D3*
+X4375Y14563D3*
+Y8688D3*
+X6500D3*
+X6562Y16688D3*
+X30440Y19751D3*
+X40316Y12875D3*
+X30065Y17876D3*
+X43004Y13313D3*
+X7937Y18063D3*
+X34753Y13375D3*
+X8750Y8312D3*
+X9625Y9375D3*
+X11063Y8312D3*
+X11688Y10500D3*
+X29002Y19376D3*
+X29752D3*
+X31752Y12688D3*
+X8250Y12813D3*
+X10438Y7375D3*
+X38628Y7250D3*
+X4375Y10313D3*
+Y12125D3*
+X43004D3*
+Y10313D3*
+X14938Y13063D3*
+X14688Y18939D3*
+X32753Y9938D3*
+X19501Y8125D3*
+X19126Y8000D3*
+X18751Y7937D3*
+X18376D3*
+X18001D3*
+X20626Y8500D3*
+X20251Y8375D3*
+X31614Y11661D3*
+X30752Y16813D3*
+X24948Y20565D2*
+D17*
+X26391D1*
+X25198Y20316D2*
+X26391D1*
+X25444Y20068D2*
+X26508D1*
+X25694Y19819D2*
+X30476D1*
+X10894Y19570D2*
+X12254D1*
+X25944D2*
+X30476D1*
+X10644Y19322D2*
+X12004D1*
+X10394Y19073D2*
+X11758D1*
+X10148Y18824D2*
+X11508D1*
+X13327D2*
+X14570D1*
+X30702D2*
+X30851D1*
+X9898Y18576D2*
+X11258D1*
+X13077D2*
+X14320D1*
+X30741D2*
+X31101D1*
+X9648Y18327D2*
+X11012D1*
+X12831D2*
+X14074D1*
+X30691D2*
+X31351D1*
+X12581Y18078D2*
+X13824D1*
+X30741D2*
+X31598D1*
+X7593Y17830D2*
+X7887D1*
+X12331D2*
+X13574D1*
+X15394D2*
+X16680D1*
+X26155D2*
+X26676D1*
+X27327D2*
+X28203D1*
+X29171D2*
+X30016D1*
+X30612D2*
+X31848D1*
+X7343Y17581D2*
+X7533D1*
+X12085D2*
+X13328D1*
+X15148D2*
+X16680D1*
+X22898D2*
+X25223D1*
+X26155D2*
+X26574D1*
+X27640D2*
+X27953D1*
+X29144D2*
+X32098D1*
+X7097Y17332D2*
+X7531D1*
+X12691D2*
+X13078D1*
+X14898D2*
+X16680D1*
+X22616D2*
+X26328D1*
+X28585D2*
+X32344D1*
+X14648Y17083D2*
+X15453D1*
+X19023D2*
+X26082D1*
+X28339D2*
+X30430D1*
+X31077D2*
+X32594D1*
+X6597Y16835D2*
+X6851D1*
+X14401D2*
+X15207D1*
+X19296D2*
+X22773D1*
+X31640D2*
+X32844D1*
+X6351Y16586D2*
+X6851D1*
+X14151D2*
+X14957D1*
+X15839D2*
+X16680D1*
+X19382D2*
+X22773D1*
+X31640D2*
+X32851D1*
+X6101Y16337D2*
+X6851D1*
+X13901D2*
+X14707D1*
+X15593D2*
+X16680D1*
+X19382D2*
+X22773D1*
+X31640D2*
+X32851D1*
+X5851Y16089D2*
+X6851D1*
+X13655D2*
+X14461D1*
+X15343D2*
+X16680D1*
+X19382D2*
+X22773D1*
+X32105D2*
+X32851D1*
+X5605Y15840D2*
+X6851D1*
+X13405D2*
+X14211D1*
+X15093D2*
+X16680D1*
+X19382D2*
+X22441D1*
+X32355D2*
+X32851D1*
+X5355Y15591D2*
+X8316D1*
+X13155D2*
+X14008D1*
+X14847D2*
+X16519D1*
+X19538D2*
+X21699D1*
+X32605D2*
+X32851D1*
+X5105Y15343D2*
+X6387D1*
+X12909D2*
+X13992D1*
+X14632D2*
+X16332D1*
+X19788D2*
+X21699D1*
+X4859Y15094D2*
+X6324D1*
+X12659D2*
+X13992D1*
+X14632D2*
+X16320D1*
+X20038D2*
+X21699D1*
+X4609Y14845D2*
+X6437D1*
+X12409D2*
+X13992D1*
+X14984D2*
+X16320D1*
+X20284D2*
+X21699D1*
+X4359Y14597D2*
+X7305D1*
+X12362D2*
+X13898D1*
+X15167D2*
+X16320D1*
+X20534D2*
+X22094D1*
+X4337Y14348D2*
+X7305D1*
+X12855D2*
+X13914D1*
+X15148D2*
+X16320D1*
+X20784D2*
+X21934D1*
+X4337Y14099D2*
+X7305D1*
+X13245D2*
+X14086D1*
+X15155D2*
+X16320D1*
+X21030D2*
+X21934D1*
+X4337Y13851D2*
+X7305D1*
+X14058D2*
+X14336D1*
+X15405D2*
+X16297D1*
+X21280D2*
+X21934D1*
+X4337Y13602D2*
+X7305D1*
+X15616D2*
+X16269D1*
+X21530D2*
+X21934D1*
+X32761D2*
+X32961D1*
+X4337Y13353D2*
+X7445D1*
+X21776D2*
+X21934D1*
+X32761D2*
+X33207D1*
+X34843D2*
+X40715D1*
+X42042D2*
+X43043D1*
+X4337Y13104D2*
+X7445D1*
+X19589D2*
+X19832D1*
+X28776D2*
+X29039D1*
+X32839D2*
+X33457D1*
+X35081D2*
+X40715D1*
+X42042D2*
+X43043D1*
+X4337Y12856D2*
+X7445D1*
+X8183D2*
+X9121D1*
+X19741D2*
+X20078D1*
+X33089D2*
+X33469D1*
+X35132D2*
+X40715D1*
+X42042D2*
+X43043D1*
+X4337Y12607D2*
+X7445D1*
+X8183D2*
+X9121D1*
+X19987D2*
+X20328D1*
+X31675D2*
+X32078D1*
+X33339D2*
+X33471D1*
+X35132D2*
+X40715D1*
+X42042D2*
+X43043D1*
+X4337Y12358D2*
+X7445D1*
+X8183D2*
+X10867D1*
+X20300D2*
+X20434D1*
+X22773D2*
+X23480D1*
+X26109D2*
+X26391D1*
+X31429D2*
+X32328D1*
+X35132D2*
+X43043D1*
+X4337Y12110D2*
+X7445D1*
+X8183D2*
+X10973D1*
+X22878D2*
+X23480D1*
+X25948D2*
+X26414D1*
+X31331D2*
+X32578D1*
+X35132D2*
+X43043D1*
+X5901Y11861D2*
+X7445D1*
+X8183D2*
+X10973D1*
+X15804D2*
+X19262D1*
+X22929D2*
+X23480D1*
+X25792D2*
+X26414D1*
+X35179D2*
+X41480D1*
+X5901Y11612D2*
+X7445D1*
+X8183D2*
+X10973D1*
+X15804D2*
+X16851D1*
+X22929D2*
+X23480D1*
+X25792D2*
+X26414D1*
+X35179D2*
+X41480D1*
+X5901Y11364D2*
+X7445D1*
+X8183D2*
+X10973D1*
+X15804D2*
+X16601D1*
+X22929D2*
+X24070D1*
+X25569D2*
+X26414D1*
+X35179D2*
+X41480D1*
+X5901Y11115D2*
+X7445D1*
+X8183D2*
+X10973D1*
+X15804D2*
+X16355D1*
+X22929D2*
+X24320D1*
+X25569D2*
+X26414D1*
+X35179D2*
+X41480D1*
+X5901Y10866D2*
+X7445D1*
+X8183D2*
+X11945D1*
+X12683D2*
+X14101D1*
+X14839D2*
+X16105D1*
+X17128D2*
+X17590D1*
+X18413D2*
+X19633D1*
+X22929D2*
+X23934D1*
+X25569D2*
+X26434D1*
+X27069D2*
+X27398D1*
+X28097D2*
+X28519D1*
+X35179D2*
+X41480D1*
+X5901Y10618D2*
+X7445D1*
+X8183D2*
+X11945D1*
+X12683D2*
+X14101D1*
+X14839D2*
+X15777D1*
+X16878D2*
+X17531D1*
+X21198D2*
+X23867D1*
+X25569D2*
+X26434D1*
+X28101D2*
+X28672D1*
+X29210D2*
+X29359D1*
+X30964D2*
+X31293D1*
+X32620D2*
+X41480D1*
+X4337Y10369D2*
+X7445D1*
+X8667D2*
+X11891D1*
+X12737D2*
+X14016D1*
+X14862D2*
+X15641D1*
+X16632D2*
+X17449D1*
+X22222D2*
+X23617D1*
+X25569D2*
+X26465D1*
+X28101D2*
+X29531D1*
+X30526D2*
+X31293D1*
+X32620D2*
+X43043D1*
+X4337Y10120D2*
+X7445D1*
+X8667D2*
+X11934D1*
+X12691D2*
+X14059D1*
+X14816D2*
+X15684D1*
+X16441D2*
+X17539D1*
+X22304D2*
+X23367D1*
+X24249D2*
+X24445D1*
+X25569D2*
+X26719D1*
+X27034D2*
+X27324D1*
+X28179D2*
+X29449D1*
+X30304D2*
+X31293D1*
+X32620D2*
+X43043D1*
+X4337Y9872D2*
+X7457D1*
+X8667D2*
+X11930D1*
+X12694D2*
+X14055D1*
+X14819D2*
+X17406D1*
+X24003D2*
+X24445D1*
+X25499D2*
+X27414D1*
+X28089D2*
+X29539D1*
+X30214D2*
+X31293D1*
+X32706D2*
+X43043D1*
+X4337Y9623D2*
+X7457D1*
+X8667D2*
+X11891D1*
+X12734D2*
+X14016D1*
+X14859D2*
+X17324D1*
+X23753D2*
+X24445D1*
+X25378D2*
+X27344D1*
+X28159D2*
+X29469D1*
+X30284D2*
+X31414D1*
+X34925D2*
+X43043D1*
+X4337Y9374D2*
+X7457D1*
+X8667D2*
+X11937D1*
+X12675D2*
+X14101D1*
+X14839D2*
+X17410D1*
+X22671D2*
+X24445D1*
+X25378D2*
+X26414D1*
+X31046D2*
+X31594D1*
+X34925D2*
+X43043D1*
+X4337Y9125D2*
+X10973D1*
+X15609D2*
+X17926D1*
+X22671D2*
+X24445D1*
+X25378D2*
+X26414D1*
+X31046D2*
+X31633D1*
+X34925D2*
+X43043D1*
+X4337Y8877D2*
+X10973D1*
+X15609D2*
+X18176D1*
+X22671D2*
+X26414D1*
+X31046D2*
+X31738D1*
+X34925D2*
+X43043D1*
+X4337Y8628D2*
+X10973D1*
+X15609D2*
+X19633D1*
+X22671D2*
+X26414D1*
+X31046D2*
+X31883D1*
+X36429D2*
+X43043D1*
+X8714Y8379D2*
+X10973D1*
+X15648D2*
+X18965D1*
+X22511D2*
+X26414D1*
+X32253D2*
+X32559D1*
+X36691D2*
+X38664D1*
+X8714Y8131D2*
+X10809D1*
+X16316D2*
+X17621D1*
+X22261D2*
+X26434D1*
+X32499D2*
+X32805D1*
+X36937D2*
+X38664D1*
+X8714Y7882D2*
+X10766D1*
+X16749D2*
+X17578D1*
+X22011D2*
+X26434D1*
+X32749D2*
+X33055D1*
+X37187D2*
+X37414D1*
+X37718D2*
+X38664D1*
+X8714Y7633D2*
+X10691D1*
+X21765D2*
+X22480D1*
+X23523D2*
+X25043D1*
+X26210D2*
+X26418D1*
+X8714Y7385D2*
+X10445D1*
+X21515D2*
+X22383D1*
+X23616D2*
+X24945D1*
+X42017Y13378D2*
+Y12424D1*
+X40740D1*
+Y13373D1*
+X39066Y13376D1*
+X34797D1*
+X35020Y13150D1*
+X35088Y13042D1*
+X35106Y12942D1*
+Y12032D1*
+X35155Y12028D1*
+Y10789D1*
+X34468Y10793D1*
+X34518Y10789D1*
+X33956Y10793D1*
+X34007Y10789D1*
+X33444Y10793D1*
+X33495Y10789D1*
+X32932Y10793D1*
+X32989Y10789D1*
+X32677Y10793D1*
+X32727Y10789D1*
+X32596Y10784D1*
+Y10125D1*
+X32573Y9977D1*
+X32717Y9836D1*
+X32780Y9741D1*
+X32852Y9744D1*
+X33364D1*
+X33876D1*
+X34387D1*
+X34899D1*
+Y8709D1*
+X34940Y8669D1*
+X36253D1*
+X36377Y8641D1*
+X36461Y8583D1*
+X37253Y7794D1*
+X37288D1*
+X37421Y7876D1*
+X37543Y7902D1*
+X37667Y7890D1*
+X37781Y7840D1*
+X37875Y7758D1*
+X37901Y7715D1*
+X37935Y7751D1*
+X38043Y7813D1*
+X38165Y7839D1*
+X38289Y7827D1*
+X38403Y7777D1*
+X38496Y7695D1*
+X38561Y7589D1*
+X38590Y7437D1*
+X38571Y7314D1*
+X38531Y7234D1*
+X38563Y7188D1*
+X38692D1*
+X38691Y8500D1*
+X38752Y8605D1*
+X38816Y8625D1*
+X43066D1*
+Y10375D1*
+X41628D1*
+X41523Y10436D1*
+X41503Y10500D1*
+Y11938D1*
+X41565Y12043D1*
+X41628Y12063D1*
+X43066D1*
+Y13376D1*
+X42015D1*
+X7683Y17716D2*
+X7945D1*
+X7910Y17861D1*
+X7925Y17984D1*
+X7968Y18080D1*
+X7969Y18231D1*
+X4312Y14574D1*
+Y12063D1*
+X5750D1*
+X5855Y12002D1*
+X5875Y11938D1*
+Y10500D1*
+X5813Y10395D1*
+X5750Y10375D1*
+X4312D1*
+Y8625D1*
+X8562D1*
+X8668Y8564D1*
+X8687Y8500D1*
+X8688Y7250D1*
+X10332Y7248D1*
+X10795Y7710D1*
+X10809Y7800D1*
+X10785Y7922D1*
+X10800Y8046D1*
+X10852Y8159D1*
+X10935Y8251D1*
+X10999Y8288D1*
+X10998Y9346D1*
+X11646Y9342D1*
+X11842Y9346D1*
+X11961D1*
+X11962Y9491D1*
+X11934Y9550D1*
+X11910Y9672D1*
+X11925Y9796D1*
+X11977Y9909D1*
+X12058Y9999D1*
+X11994Y10066D1*
+X11934Y10175D1*
+X11910Y10298D1*
+X11925Y10421D1*
+X11969Y10517D1*
+Y10981D1*
+X11785Y10985D1*
+X11588Y10981D1*
+X11194D1*
+X10998D1*
+Y12275D1*
+X10855Y12396D1*
+Y12361D1*
+X9144D1*
+Y12905D1*
+X8156Y12907D1*
+Y10458D1*
+X8642Y10455D1*
+Y9295D1*
+X7483D1*
+X7478Y10046D1*
+X7469Y10250D1*
+Y13434D1*
+X7328Y13437D1*
+Y14785D1*
+X6963Y14782D1*
+X6880Y14745D1*
+X6757Y14723D1*
+X6634Y14740D1*
+X6522Y14794D1*
+X6431Y14879D1*
+X6371Y14988D1*
+X6347Y15111D1*
+X6362Y15234D1*
+X6414Y15347D1*
+X6497Y15440D1*
+X6605Y15502D1*
+X6727Y15528D1*
+X6851Y15515D1*
+X6954Y15471D1*
+X8340Y15469D1*
+X8342Y15723D1*
+X7185Y15721D1*
+X6876D1*
+Y17097D1*
+X7559D1*
+X7558Y17716D1*
+X7683D1*
+X26131Y18033D2*
+Y17536D1*
+X25247D1*
+Y17743D1*
+X25180Y17678D1*
+X25069Y17620D1*
+X24947Y17598D1*
+X24823Y17615D1*
+X24711Y17669D1*
+X24691Y17688D1*
+X24569Y17620D1*
+X24447Y17598D1*
+X24323Y17615D1*
+X24211Y17669D1*
+X24163Y17714D1*
+X24117Y17678D1*
+X24007Y17620D1*
+X23884Y17598D1*
+X23761Y17615D1*
+X23649Y17669D1*
+X23629Y17688D1*
+X23507Y17620D1*
+X23384Y17598D1*
+X23261Y17615D1*
+X23149Y17669D1*
+X23129Y17688D1*
+X23007Y17620D1*
+X22888Y17599D1*
+X22690Y17398D1*
+X22581Y17330D1*
+X22481Y17311D1*
+X18415D1*
+X18410Y17282D1*
+X18414Y17210D1*
+X18477Y17203D1*
+X18591Y17154D1*
+X18635Y17115D1*
+X18871Y17113D1*
+X18993Y17086D1*
+X19079Y17027D1*
+X19272Y16834D1*
+X19340Y16726D1*
+X19358Y16626D1*
+X19360Y15746D1*
+X21959Y13146D1*
+X21957Y14313D1*
+X21985Y14437D1*
+X22043Y14521D1*
+X22333Y14811D1*
+X21724Y14809D1*
+Y15693D1*
+X22368D1*
+X22432Y15808D1*
+X22530Y15884D1*
+X22653Y15920D1*
+X22794Y15923D1*
+X22801Y16100D1*
+X22797Y16346D1*
+X22801Y16494D1*
+X22797Y16739D1*
+Y16887D1*
+X23956D1*
+Y16860D1*
+X25752Y16858D1*
+X25882Y16860D1*
+X26597Y17575D1*
+X26614Y17672D1*
+X26666Y17785D1*
+X26750Y17877D1*
+X26857Y17939D1*
+X26979Y17966D1*
+X27103Y17953D1*
+X27217Y17904D1*
+X27311Y17822D1*
+X27376Y17711D1*
+X27416Y17703D1*
+X27530Y17654D1*
+X27623Y17572D1*
+X27688Y17465D1*
+X27717Y17320D1*
+X28400Y17995D1*
+X28440Y18032D1*
+X26131D1*
+X25353Y9790D2*
+Y9097D1*
+X24469D1*
+X24473Y9903D1*
+X24469Y10160D1*
+X24467Y10285D1*
+X24392D1*
+X23647Y9542D1*
+X23539Y9474D1*
+X23439Y9456D1*
+X22646D1*
+X22648Y8568D1*
+X22605D1*
+X22554Y8455D1*
+X22257Y8152D1*
+X21397Y7292D1*
+X21289Y7224D1*
+X22246Y7222D1*
+X22412Y7389D1*
+X22426Y7483D1*
+X22478Y7597D1*
+X22562Y7689D1*
+X22670Y7751D1*
+X22791Y7777D1*
+X22915Y7765D1*
+X22997Y7729D1*
+X23045Y7751D1*
+X23166Y7777D1*
+X23290Y7765D1*
+X23404Y7715D1*
+X23498Y7633D1*
+X23562Y7526D1*
+X23592Y7375D1*
+X23573Y7252D1*
+X23563Y7219D1*
+X24895Y7216D1*
+X24946Y7355D1*
+X24920Y7309D1*
+X25041Y7597D1*
+X25124Y7689D1*
+X25232Y7751D1*
+X25354Y7777D1*
+X25478Y7765D1*
+X25592Y7715D1*
+X25624Y7687D1*
+X25732Y7751D1*
+X25854Y7777D1*
+X25978Y7765D1*
+X26092Y7715D1*
+X26186Y7633D1*
+X26250Y7526D1*
+X26279Y7389D1*
+X26349Y7360D1*
+X26364Y7483D1*
+X26416Y7597D1*
+X26455Y7640D1*
+X26457Y8152D1*
+X26437Y8154D1*
+Y9471D1*
+X27085Y9467D1*
+X27282Y9471D1*
+X27354D1*
+X27364Y9609D1*
+X27416Y9722D1*
+X27497Y9811D1*
+X27433Y9879D1*
+X27373Y9988D1*
+X27350Y10110D1*
+X27364Y10234D1*
+X27429Y10361D1*
+X27424Y11104D1*
+X27422Y11111D1*
+X27225Y11107D1*
+X27050D1*
+X27046Y10863D1*
+X27092Y10840D1*
+X27186Y10758D1*
+X27250Y10652D1*
+X27280Y10500D1*
+X27260Y10377D1*
+X27204Y10266D1*
+X27117Y10177D1*
+X27007Y10119D1*
+X26885Y10098D1*
+X26761Y10114D1*
+X26649Y10168D1*
+X26558Y10254D1*
+X26508Y10335D1*
+X26462Y10450D1*
+X26457Y10875D1*
+Y11106D1*
+X26437Y11107D1*
+Y12337D1*
+X26377Y12393D1*
+X26092D1*
+X26073Y12315D1*
+X26017Y12204D1*
+X25930Y12115D1*
+X25819Y12057D1*
+X25770Y12048D1*
+X25769Y11569D1*
+X25546Y11563D1*
+Y10063D1*
+X25520Y9941D1*
+X25443Y9840D1*
+X25413Y9817D1*
+X25353Y9715D1*
+X25350Y9660D1*
+X23889Y11569D2*
+X23507D1*
+X23502Y12393D1*
+X22748Y12394D1*
+X22719Y12387D1*
+X22768Y12337D1*
+X22836Y12229D1*
+X22855Y12129D1*
+Y12094D1*
+X22904Y12090D1*
+Y10852D1*
+X22217Y10856D1*
+X22267Y10852D1*
+X21705Y10856D1*
+X21755Y10852D1*
+X21193Y10856D1*
+X21243Y10852D1*
+X20681Y10856D1*
+X20669Y10814D1*
+X20791Y10840D1*
+X20915Y10827D1*
+X21029Y10778D1*
+X21123Y10696D1*
+X21187Y10589D1*
+X21216Y10438D1*
+X21213Y10418D1*
+X21599Y10420D1*
+X21732Y10501D1*
+X21854Y10527D1*
+X21978Y10515D1*
+X22092Y10465D1*
+X22185Y10383D1*
+X22250Y10277D1*
+X22279Y10125D1*
+X22266Y10044D1*
+X23319Y10047D1*
+X23957Y10685D1*
+X23958Y11091D1*
+X24368D1*
+X23891Y11570D1*
+X27501Y20111D2*
+X27405Y19995D1*
+X27304Y19921D1*
+X27190Y19870D1*
+X27068Y19843D1*
+X26944Y19842D1*
+X26821Y19867D1*
+X26707Y19917D1*
+X26605Y19989D1*
+X26521Y20081D1*
+X26457Y20188D1*
+X26417Y20306D1*
+X26402Y20430D1*
+X26414Y20554D1*
+X26450Y20674D1*
+X26511Y20783D1*
+X26539Y20815D1*
+X24678Y20814D1*
+X25935Y19554D1*
+X26142Y19347D1*
+X28752Y19345D1*
+X30107D1*
+X30170Y19377D1*
+X30292Y19403D1*
+X30416Y19391D1*
+X30505Y19352D1*
+X30502Y20107D1*
+X30405Y19995D1*
+X30304Y19921D1*
+X30190Y19870D1*
+X30068Y19843D1*
+X29944Y19842D1*
+X29821Y19867D1*
+X29707Y19917D1*
+X29605Y19989D1*
+X29503Y20111D1*
+X29405Y19995D1*
+X29304Y19921D1*
+X29190Y19870D1*
+X29068Y19843D1*
+X28944Y19842D1*
+X28821Y19867D1*
+X28707Y19917D1*
+X28605Y19989D1*
+X28503Y20111D1*
+X28405Y19995D1*
+X28304Y19921D1*
+X28190Y19870D1*
+X28068Y19843D1*
+X27944Y19842D1*
+X27821Y19867D1*
+X27707Y19917D1*
+X27605Y19989D1*
+X27503Y20111D1*
+X12696Y10190D2*
+X12640Y10078D1*
+X12567Y10003D1*
+X12622Y9946D1*
+X12686Y9839D1*
+X12716Y9688D1*
+X12696Y9565D1*
+X12649Y9471D1*
+Y9349D1*
+X12827Y9346D1*
+X13024D1*
+X13417D1*
+X13811D1*
+X14008D1*
+X14126D1*
+X14120Y9441D1*
+X14060Y9550D1*
+X14036Y9672D1*
+X14050Y9796D1*
+X14102Y9909D1*
+X14183Y9999D1*
+X14120Y10066D1*
+X14060Y10175D1*
+X14036Y10298D1*
+X14050Y10421D1*
+X14102Y10534D1*
+X14126Y10560D1*
+X14128Y10982D1*
+X13950Y10985D1*
+X13754Y10981D1*
+X13360D1*
+X12966D1*
+X12769D1*
+X12659D1*
+X12657Y10523D1*
+X12686Y10464D1*
+X12716Y10313D1*
+X12696Y10190D1*
+X14822D2*
+X14766Y10078D1*
+X14692Y10003D1*
+X14747Y9946D1*
+X14811Y9839D1*
+X14841Y9688D1*
+X14815Y9530D1*
+Y9346D1*
+X14992Y9342D1*
+X15189Y9346D1*
+X15583D1*
+Y8404D1*
+X15685Y8321D1*
+X15715Y8271D1*
+X15794Y8313D1*
+X15916Y8340D1*
+X16039Y8327D1*
+X16154Y8278D1*
+X16247Y8196D1*
+X16311Y8089D1*
+X16337Y7979D1*
+X16501Y7982D1*
+X16625Y7953D1*
+X16709Y7896D1*
+X16938Y7669D1*
+X17700D1*
+X17622Y7800D1*
+X17599Y7922D1*
+X17613Y8046D1*
+X17665Y8159D1*
+X17749Y8251D1*
+X17857Y8313D1*
+X17978Y8340D1*
+X18102Y8327D1*
+X18184Y8292D1*
+X18232Y8313D1*
+X18353Y8340D1*
+X18477Y8327D1*
+X18559Y8292D1*
+X18607Y8313D1*
+X18728Y8340D1*
+X18852Y8327D1*
+X18877Y8316D1*
+X18982Y8376D1*
+X19103Y8402D1*
+X19200Y8392D1*
+X19249Y8439D1*
+X19357Y8501D1*
+X19478Y8527D1*
+X19575Y8517D1*
+X19661Y8585D1*
+X19658Y8783D1*
+X18439Y8781D1*
+X18316Y8804D1*
+X18196Y8882D1*
+X17845Y9233D1*
+X17759Y9222D1*
+X17635Y9239D1*
+X17523Y9293D1*
+X17432Y9379D1*
+X17372Y9488D1*
+X17349Y9610D1*
+X17363Y9734D1*
+X17415Y9847D1*
+X17499Y9939D1*
+X17596Y9995D1*
+X17557Y10129D1*
+X17497Y10238D1*
+X17474Y10360D1*
+X17488Y10484D1*
+X17540Y10597D1*
+X17605Y10669D1*
+X17599Y10735D1*
+X17613Y10859D1*
+X17665Y10972D1*
+X17749Y11064D1*
+X17799Y11093D1*
+X17333Y11094D1*
+X16456Y10220D1*
+X16391Y10078D1*
+X16304Y9990D1*
+X16193Y9932D1*
+X16071Y9910D1*
+X15948Y9927D1*
+X15835Y9981D1*
+X15745Y10066D1*
+X15685Y10175D1*
+X15661Y10298D1*
+X15675Y10421D1*
+X15727Y10534D1*
+X15811Y10627D1*
+X15919Y10689D1*
+X15961Y10698D1*
+X16945Y11681D1*
+X17048Y11751D1*
+X17188Y11781D1*
+X19356D1*
+X19374Y11797D1*
+X19254Y11894D1*
+X15778Y11893D1*
+X15779Y10981D1*
+X15131Y10985D1*
+X14935Y10981D1*
+X14816D1*
+X14815Y10452D1*
+X14841Y10313D1*
+X14822Y10190D1*
+X28000Y9812D2*
+X28061Y9758D1*
+X28125Y9652D1*
+X28155Y9500D1*
+X28151Y9473D1*
+X28463Y9471D1*
+X28857D1*
+X29251D1*
+X29447D1*
+X29478D1*
+X29489Y9609D1*
+X29541Y9722D1*
+X29622Y9811D1*
+X29559Y9879D1*
+X29499Y9988D1*
+X29475Y10110D1*
+X29489Y10234D1*
+X29541Y10347D1*
+X29586Y10396D1*
+X29587Y10538D1*
+X29511Y10552D1*
+X29399Y10606D1*
+X29309Y10691D1*
+X29284Y10736D1*
+X29267Y10703D1*
+X29180Y10615D1*
+X29070Y10557D1*
+X28947Y10535D1*
+X28824Y10552D1*
+X28712Y10606D1*
+X28621Y10691D1*
+X28561Y10800D1*
+X28537Y10923D1*
+X28552Y11046D1*
+X28579Y11106D1*
+X28406Y11111D1*
+X28209Y11107D1*
+X28075D1*
+Y10364D1*
+X28125Y10277D1*
+X28155Y10125D1*
+X28135Y10002D1*
+X28080Y9891D1*
+X28006Y9816D1*
+X30261Y10002D2*
+X30205Y9891D1*
+X30131Y9816D1*
+X30186Y9758D1*
+X30250Y9652D1*
+X30280Y9500D1*
+X30276Y9473D1*
+X30432Y9467D1*
+X30629Y9471D1*
+X31022D1*
+Y8545D1*
+X31911Y8544D1*
+X31909Y8791D1*
+X31774Y8868D1*
+X31698Y8966D1*
+X31661Y9089D1*
+X31659Y9293D1*
+X31624Y9363D1*
+X31601Y9481D1*
+X31524Y9538D1*
+X31405Y9656D1*
+X31343Y9748D1*
+X31319Y9864D1*
+Y11384D1*
+X31235Y11523D1*
+X31219Y11604D1*
+Y11107D1*
+X31200D1*
+X31218Y11000D1*
+X31198Y10877D1*
+X31142Y10766D1*
+X31055Y10677D1*
+X30945Y10619D1*
+X30822Y10598D1*
+X30699Y10615D1*
+X30590Y10667D1*
+X30592Y10625D1*
+X30573Y10502D1*
+X30517Y10391D1*
+X30430Y10302D1*
+X30320Y10244D1*
+X30262Y10234D1*
+X30280Y10125D1*
+X30261Y10002D1*
+X19466Y12960D2*
+X19540Y12953D1*
+X19654Y12903D1*
+X19748Y12821D1*
+X19816Y12695D1*
+X19904Y12653D1*
+X19998Y12571D1*
+X20063Y12461D1*
+X20102Y12453D1*
+X20217Y12403D1*
+X20310Y12321D1*
+X20374Y12214D1*
+X20403Y12090D1*
+X20456D1*
+X20455Y12506D1*
+X19563Y13398D1*
+X19591Y13250D1*
+X19572Y13127D1*
+X19516Y13016D1*
+X19464Y12963D1*
+X15134Y14378D2*
+X15078Y14266D1*
+X15043Y14230D1*
+X15047Y14183D1*
+X15521Y13709D1*
+X15589Y13601D1*
+X15608Y13501D1*
+Y13356D1*
+X16458Y13357D1*
+X16370Y13442D1*
+X16310Y13551D1*
+X16286Y13673D1*
+X16301Y13797D1*
+X16344Y13892D1*
+X16345Y15251D1*
+X16367Y15373D1*
+X16445Y15494D1*
+X16635Y15683D1*
+X16702Y15730D1*
+X16707Y15764D1*
+X16703Y16010D1*
+X16707Y16158D1*
+X16703Y16404D1*
+X16707Y16552D1*
+X16703Y16703D1*
+X16169Y16706D1*
+X15933Y16704D1*
+X14608Y15376D1*
+Y14880D1*
+X14728Y14903D1*
+X14852Y14890D1*
+X14966Y14841D1*
+X15060Y14759D1*
+X15124Y14652D1*
+X15153Y14501D1*
+X15134Y14378D1*
+X18206Y11095D2*
+X18310Y11008D1*
+X18374Y10902D1*
+X18387Y10845D1*
+X18439Y10795D1*
+X20630D1*
+X20613Y10852D1*
+X20425Y10856D1*
+X20476Y10852D1*
+X19913Y10856D1*
+X19970Y10852D1*
+X19658D1*
+Y11043D1*
+X19571Y11035D1*
+X19448Y11052D1*
+X19360Y11094D1*
+X18208D1*
+X12340Y14585D2*
+X12414Y14578D1*
+X12528Y14528D1*
+X12622Y14446D1*
+X12648Y14403D1*
+X12727Y14390D1*
+X12841Y14341D1*
+X12934Y14259D1*
+X12960Y14215D1*
+X13039Y14203D1*
+X13153Y14153D1*
+X13247Y14071D1*
+X13311Y13965D1*
+X13341Y13813D1*
+X13333Y13763D1*
+X13402Y13766D1*
+X13498Y13877D1*
+X13606Y13939D1*
+X13728Y13965D1*
+X13852Y13953D1*
+X13966Y13903D1*
+X14060Y13821D1*
+X14124Y13715D1*
+X14149Y13605D1*
+X14348Y13607D1*
+X14481Y13689D1*
+X14515Y13696D1*
+X14103Y14108D1*
+X13995Y14254D1*
+X13935Y14363D1*
+X13911Y14485D1*
+X13925Y14609D1*
+X13977Y14722D1*
+X14016Y14766D1*
+X14019Y15501D1*
+X14047Y15625D1*
+X14105Y15709D1*
+X15605Y17209D1*
+X15713Y17277D1*
+X15813Y17295D1*
+X16700D1*
+X16707Y17339D1*
+X16703Y17930D1*
+Y18022D1*
+X15560Y18019D1*
+X12241Y14700D1*
+X12309Y14634D1*
+X12335Y14590D1*
+X12256Y17355D2*
+X12293Y17377D1*
+X12415Y17403D1*
+X12539Y17391D1*
+X12653Y17341D1*
+X12747Y17259D1*
+X12811Y17152D1*
+X12833Y17058D1*
+X13424Y17653D1*
+X14664Y18894D1*
+X13370Y18892D1*
+X11848Y17370D1*
+X12040Y17403D1*
+X12164Y17391D1*
+X12246Y17355D1*
+X31402Y12356D2*
+X31386Y12252D1*
+X31330Y12141D1*
+X31243Y12052D1*
+X31219Y12040D1*
+Y11740D1*
+X31277Y11882D1*
+X31361Y11975D1*
+X31469Y12037D1*
+X31591Y12063D1*
+X31715Y12051D1*
+X31829Y12001D1*
+X31908Y11932D1*
+X31909Y12028D1*
+X32596Y12024D1*
+X32540Y12028D1*
+X32680D1*
+X31982Y12730D1*
+X31914Y12838D1*
+X31945Y12777D1*
+X31846Y12803D1*
+X31407Y12364D1*
+X28590Y13285D2*
+X28592Y13265D1*
+X28653Y13287D1*
+X28587D1*
+X28762Y13094D1*
+X28908Y12949D1*
+X29275Y13315D1*
+X29155Y13316D1*
+X29153Y13287D1*
+X28653D1*
+X33386Y7252D2*
+X33360Y7200D1*
+X33762Y7198D1*
+X32554Y8408D1*
+X32483Y8506D1*
+X32421Y8510D1*
+X32471Y8506D1*
+X32084D1*
+X32148Y8458D1*
+X32858Y7751D1*
+X32980Y7777D1*
+X33104Y7765D1*
+X33218Y7715D1*
+X33312Y7633D1*
+X33376Y7526D1*
+X33405Y7375D1*
+X33386Y7252D1*
+X29136Y17628D2*
+X29080Y17517D1*
+X28992Y17428D1*
+X28882Y17370D1*
+X28760Y17348D1*
+X28636Y17365D1*
+X28612Y17377D1*
+X28331Y17101D1*
+X28119Y16887D1*
+X29153D1*
+Y16860D1*
+X30351Y16858D1*
+X30364Y16922D1*
+X30416Y17035D1*
+X30500Y17127D1*
+X30608Y17189D1*
+X30730Y17215D1*
+X30853Y17203D1*
+X30968Y17154D1*
+X31061Y17072D1*
+X31125Y16965D1*
+X31144Y16885D1*
+X31614Y16887D1*
+Y16269D1*
+X31780Y16267D1*
+X31905Y16239D1*
+X31989Y16181D1*
+X32875Y15294D1*
+X32878Y16563D1*
+Y16823D1*
+X30718Y18983D1*
+X30698Y18878D1*
+X30651Y18784D1*
+X30688Y18715D1*
+X30717Y18564D1*
+X30698Y18441D1*
+X30651Y18347D1*
+X30688Y18278D1*
+X30717Y18126D1*
+X30698Y18003D1*
+X30642Y17892D1*
+X30555Y17803D1*
+X30445Y17745D1*
+X30322Y17723D1*
+X30199Y17740D1*
+X30087Y17794D1*
+X29996Y17880D1*
+X29936Y17989D1*
+X29929Y18023D1*
+X29690Y18032D1*
+X29037D1*
+X29061Y18009D1*
+X29125Y17902D1*
+X29155Y17751D1*
+X29136Y17628D1*
+X9625Y18324D2*
+X10855D1*
+Y18296D1*
+X11004D1*
+X12426Y19719D1*
+X11019Y19720D1*
+X9618Y18321D1*
+X29570Y12609D2*
+X30041Y13081D1*
+X29872Y13079D1*
+X29422Y12625D1*
+Y12434D1*
+X29482Y12521D1*
+X29570Y12609D1*
+X32734Y13688D2*
+X32737Y13183D1*
+X33496Y12423D1*
+X33491Y13095D1*
+X32732Y13855D1*
+X32734Y13688D1*
+D19*
+X41378Y13063D3*
+D20*
+X33308D3*
+D21*
+X37363Y12543D3*
+D22*
+X8000Y17313D3*
+X7488D3*
+D23*
+X8062Y9875D3*
+D24*
+X7062D3*
+D22*
+X25689Y17939D3*
+X26201D3*
+D25*
+X22126Y15251D3*
+Y15763D3*
+D22*
+X24400Y9500D3*
+X24911D3*
+D26*
+X25189Y12188D3*
+X24087D3*
+D27*
+X9186Y5399D3*
+X10186D3*
+X11186D3*
+X12186D3*
+X13186D3*
+X14186D3*
+X15186D3*
+X16186D3*
+X17186D3*
+X18186D3*
+X19186D3*
+X20186D3*
+X21186D3*
+X22186D3*
+X23186D3*
+X24186D3*
+X25186D3*
+X26186D3*
+X27186D3*
+X28186D3*
+X29186D3*
+X30186D3*
+X31186D3*
+X32186D3*
+X33186D3*
+X34186D3*
+X35186D3*
+X36186D3*
+X37186D3*
+X38186D3*
+G36*
+X25667Y20773D2*
+X26336D1*
+Y20104D1*
+X25667D1*
+Y20773D1*
+G37*
+D20*
+X27002Y20439D3*
+X28002D3*
+X29002D3*
+X30002D3*
+D22*
+X24400Y10063D3*
+X24911D3*
+X24400Y10688D3*
+X24911D3*
+D31*
+X6875Y14126D3*
+X7780D3*
+X8686D3*
+D32*
+X7780Y16409D3*
+D33*
+X10000Y18000D3*
+Y17803D3*
+Y17606D3*
+Y17409D3*
+Y17212D3*
+Y17015D3*
+Y16818D3*
+Y16622D3*
+Y16425D3*
+Y16228D3*
+Y16031D3*
+Y15834D3*
+Y15637D3*
+Y15440D3*
+Y15244D3*
+Y15047D3*
+Y14850D3*
+Y14653D3*
+Y14456D3*
+Y14259D3*
+Y14063D3*
+Y13866D3*
+Y13669D3*
+Y13472D3*
+Y13275D3*
+Y13078D3*
+Y12881D3*
+Y12685D3*
+X17559D3*
+Y12881D3*
+Y13078D3*
+Y13275D3*
+Y13472D3*
+Y13669D3*
+Y13866D3*
+Y14063D3*
+Y14259D3*
+Y14456D3*
+Y14653D3*
+Y14850D3*
+Y15047D3*
+Y15244D3*
+Y15440D3*
+Y15637D3*
+Y15834D3*
+Y16031D3*
+Y16228D3*
+Y16425D3*
+Y16622D3*
+Y16818D3*
+Y17015D3*
+Y17212D3*
+Y17409D3*
+Y17606D3*
+Y17803D3*
+Y18000D3*
+D29*
+X23377Y16563D3*
+Y16366D3*
+Y16170D3*
+Y15973D3*
+Y15776D3*
+Y15579D3*
+Y15382D3*
+Y15185D3*
+Y14989D3*
+Y14792D3*
+Y14595D3*
+Y14398D3*
+Y14201D3*
+Y14004D3*
+Y13807D3*
+Y13611D3*
+X28573D3*
+Y13807D3*
+Y14004D3*
+Y14201D3*
+Y14398D3*
+Y14595D3*
+Y14792D3*
+Y14989D3*
+Y15185D3*
+Y15382D3*
+Y15579D3*
+Y15776D3*
+Y15973D3*
+Y16170D3*
+Y16366D3*
+Y16563D3*
+X31034Y13611D3*
+Y13807D3*
+Y14004D3*
+Y14201D3*
+Y14398D3*
+Y14595D3*
+Y14792D3*
+Y14989D3*
+Y15185D3*
+Y15382D3*
+Y15579D3*
+Y15776D3*
+Y15973D3*
+Y16170D3*
+Y16366D3*
+Y16563D3*
+D35*
+X11125Y8687D3*
+X11322D3*
+X11518D3*
+X11715D3*
+X11912D3*
+X12109D3*
+X12306D3*
+X12503D3*
+X12700D3*
+X12896D3*
+X13093D3*
+X13290D3*
+X13487D3*
+X13684D3*
+X13881D3*
+X14077D3*
+X14274D3*
+X14471D3*
+X14668D3*
+X14865D3*
+X15062D3*
+X15259D3*
+X15455D3*
+X15652D3*
+Y11640D3*
+X15455D3*
+X15259D3*
+X15062D3*
+X14865D3*
+X14668D3*
+X14471D3*
+X14274D3*
+X14077D3*
+X13881D3*
+X13684D3*
+X13487D3*
+X13290D3*
+X13093D3*
+X12896D3*
+X12700D3*
+X12503D3*
+X12306D3*
+X12109D3*
+X11912D3*
+X11715D3*
+X11518D3*
+X11322D3*
+X11125D3*
+X26564Y8813D3*
+X26761D3*
+X26958D3*
+X27155D3*
+X27352D3*
+X27549D3*
+X27745D3*
+X27942D3*
+X28139D3*
+X28336D3*
+X28533D3*
+X28730D3*
+X28927D3*
+X29123D3*
+X29320D3*
+X29517D3*
+X29714D3*
+X29911D3*
+X30108D3*
+X30305D3*
+X30501D3*
+X30698D3*
+X30895D3*
+X31092D3*
+Y11765D3*
+X30895D3*
+X30698D3*
+X30501D3*
+X30305D3*
+X30108D3*
+X29911D3*
+X29714D3*
+X29517D3*
+X29320D3*
+X29123D3*
+X28927D3*
+X28730D3*
+X28533D3*
+X28336D3*
+X28139D3*
+X27942D3*
+X27745D3*
+X27549D3*
+X27352D3*
+X27155D3*
+X26958D3*
+X26761D3*
+X26564D3*
+D36*
+X20001Y9188D3*
+X20257D3*
+X20513D3*
+X20769D3*
+X21025D3*
+X21281D3*
+X21537D3*
+X21793D3*
+X22048D3*
+X22304D3*
+X22560D3*
+X22816D3*
+Y11471D3*
+X22560D3*
+X22304D3*
+X22048D3*
+X21793D3*
+X21537D3*
+X21281D3*
+X21025D3*
+X20769D3*
+X20513D3*
+X20257D3*
+X20001D3*
+X32252Y9125D3*
+X32508D3*
+X32764D3*
+X33020D3*
+X33276D3*
+X33532D3*
+X33788D3*
+X34044D3*
+X34300D3*
+X34556D3*
+X34812D3*
+X35067D3*
+Y11409D3*
+X34812D3*
+X34556D3*
+X34300D3*
+X34044D3*
+X33788D3*
+X33532D3*
+X33276D3*
+X33020D3*
+X32764D3*
+X32508D3*
+X32252D3*
+M02*
diff --git a/board/TopMask.gbr b/board/TopMask.gbr
index 102b211..823d816 100644
--- a/board/TopMask.gbr
+++ b/board/TopMask.gbr
@@ -1,358 +1,358 @@
-G04 DipTrace 2.4.0.2*
-%INTopMask.gbr*%
-%MOIN*%
-%ADD42R,0.0236X0.0787*%
-%ADD44R,0.0197X0.0866*%
-%ADD48R,0.126X0.0197*%
-%ADD50R,0.1358X0.0925*%
-%ADD52R,0.0453X0.0925*%
-%ADD54R,0.0709X0.0197*%
-%ADD58R,0.0787X0.2638*%
-%ADD60R,0.0709X0.0787*%
-%ADD62R,0.0354X0.0433*%
-%ADD64C,0.0709*%
-%ADD66R,0.0709X0.0709*%
-%ADD68R,0.0433X0.0354*%
-%ADD70R,0.0866X0.1654*%
-%ADD72C,0.0748*%
-%ADD74R,0.0827X0.0827*%
-%FSLAX44Y44*%
-G04*
-G70*
-G90*
-G75*
-G01*
-%LNTopMask*%
-%LPD*%
-D74*
-X41378Y13063D3*
-D72*
-X33308D3*
-D70*
-X37363Y12543D3*
-D68*
-X8000Y17313D3*
-X7488D3*
-D66*
-X8062Y9875D3*
-D64*
-X7062D3*
-D68*
-X25689Y17939D3*
-X26201D3*
-D62*
-X22126Y15251D3*
-Y15763D3*
-D68*
-X24400Y9500D3*
-X24911D3*
-D60*
-X25189Y12188D3*
-X24087D3*
-D58*
-X9186Y5399D3*
-X10186D3*
-X11186D3*
-X12186D3*
-X13186D3*
-X14186D3*
-X15186D3*
-X16186D3*
-X17186D3*
-X18186D3*
-X19186D3*
-X20186D3*
-X21186D3*
-X22186D3*
-X23186D3*
-X24186D3*
-X25186D3*
-X26186D3*
-X27186D3*
-X28186D3*
-X29186D3*
-X30186D3*
-X31186D3*
-X32186D3*
-X33186D3*
-X34186D3*
-X35186D3*
-X36186D3*
-X37186D3*
-X38186D3*
-G36*
-X25628Y20813D2*
-X26376D1*
-Y20065D1*
-X25628D1*
-Y20813D1*
-G37*
-D72*
-X27002Y20439D3*
-X28002D3*
-X29002D3*
-X30002D3*
-D68*
-X24400Y10063D3*
-X24911D3*
-X24400Y10688D3*
-X24911D3*
-D52*
-X6875Y14126D3*
-X7780D3*
-X8686D3*
-D50*
-X7780Y16409D3*
-D48*
-X10000Y18000D3*
-Y17803D3*
-Y17606D3*
-Y17409D3*
-Y17212D3*
-Y17015D3*
-Y16818D3*
-Y16622D3*
-Y16425D3*
-Y16228D3*
-Y16031D3*
-Y15834D3*
-Y15637D3*
-Y15440D3*
-Y15244D3*
-Y15047D3*
-Y14850D3*
-Y14653D3*
-Y14456D3*
-Y14259D3*
-Y14063D3*
-Y13866D3*
-Y13669D3*
-Y13472D3*
-Y13275D3*
-Y13078D3*
-Y12881D3*
-Y12685D3*
-X17559D3*
-Y12881D3*
-Y13078D3*
-Y13275D3*
-Y13472D3*
-Y13669D3*
-Y13866D3*
-Y14063D3*
-Y14259D3*
-Y14456D3*
-Y14653D3*
-Y14850D3*
-Y15047D3*
-Y15244D3*
-Y15440D3*
-Y15637D3*
-Y15834D3*
-Y16031D3*
-Y16228D3*
-Y16425D3*
-Y16622D3*
-Y16818D3*
-Y17015D3*
-Y17212D3*
-Y17409D3*
-Y17606D3*
-Y17803D3*
-Y18000D3*
-D54*
-X23377Y16563D3*
-Y16366D3*
-Y16170D3*
-Y15973D3*
-Y15776D3*
-Y15579D3*
-Y15382D3*
-Y15185D3*
-Y14989D3*
-Y14792D3*
-Y14595D3*
-Y14398D3*
-Y14201D3*
-Y14004D3*
-Y13807D3*
-Y13611D3*
-X28573D3*
-Y13807D3*
-Y14004D3*
-Y14201D3*
-Y14398D3*
-Y14595D3*
-Y14792D3*
-Y14989D3*
-Y15185D3*
-Y15382D3*
-Y15579D3*
-Y15776D3*
-Y15973D3*
-Y16170D3*
-Y16366D3*
-Y16563D3*
-X31034Y13611D3*
-Y13807D3*
-Y14004D3*
-Y14201D3*
-Y14398D3*
-Y14595D3*
-Y14792D3*
-Y14989D3*
-Y15185D3*
-Y15382D3*
-Y15579D3*
-Y15776D3*
-Y15973D3*
-Y16170D3*
-Y16366D3*
-Y16563D3*
-D44*
-X11125Y8687D3*
-X11322D3*
-X11518D3*
-X11715D3*
-X11912D3*
-X12109D3*
-X12306D3*
-X12503D3*
-X12700D3*
-X12896D3*
-X13093D3*
-X13290D3*
-X13487D3*
-X13684D3*
-X13881D3*
-X14077D3*
-X14274D3*
-X14471D3*
-X14668D3*
-X14865D3*
-X15062D3*
-X15259D3*
-X15455D3*
-X15652D3*
-Y11640D3*
-X15455D3*
-X15259D3*
-X15062D3*
-X14865D3*
-X14668D3*
-X14471D3*
-X14274D3*
-X14077D3*
-X13881D3*
-X13684D3*
-X13487D3*
-X13290D3*
-X13093D3*
-X12896D3*
-X12700D3*
-X12503D3*
-X12306D3*
-X12109D3*
-X11912D3*
-X11715D3*
-X11518D3*
-X11322D3*
-X11125D3*
-X26564Y8813D3*
-X26761D3*
-X26958D3*
-X27155D3*
-X27352D3*
-X27549D3*
-X27745D3*
-X27942D3*
-X28139D3*
-X28336D3*
-X28533D3*
-X28730D3*
-X28927D3*
-X29123D3*
-X29320D3*
-X29517D3*
-X29714D3*
-X29911D3*
-X30108D3*
-X30305D3*
-X30501D3*
-X30698D3*
-X30895D3*
-X31092D3*
-Y11765D3*
-X30895D3*
-X30698D3*
-X30501D3*
-X30305D3*
-X30108D3*
-X29911D3*
-X29714D3*
-X29517D3*
-X29320D3*
-X29123D3*
-X28927D3*
-X28730D3*
-X28533D3*
-X28336D3*
-X28139D3*
-X27942D3*
-X27745D3*
-X27549D3*
-X27352D3*
-X27155D3*
-X26958D3*
-X26761D3*
-X26564D3*
-D42*
-X20001Y9188D3*
-X20257D3*
-X20513D3*
-X20769D3*
-X21025D3*
-X21281D3*
-X21537D3*
-X21793D3*
-X22048D3*
-X22304D3*
-X22560D3*
-X22816D3*
-Y11471D3*
-X22560D3*
-X22304D3*
-X22048D3*
-X21793D3*
-X21537D3*
-X21281D3*
-X21025D3*
-X20769D3*
-X20513D3*
-X20257D3*
-X20001D3*
-X32252Y9125D3*
-X32508D3*
-X32764D3*
-X33020D3*
-X33276D3*
-X33532D3*
-X33788D3*
-X34044D3*
-X34300D3*
-X34556D3*
-X34812D3*
-X35067D3*
-Y11409D3*
-X34812D3*
-X34556D3*
-X34300D3*
-X34044D3*
-X33788D3*
-X33532D3*
-X33276D3*
-X33020D3*
-X32764D3*
-X32508D3*
-X32252D3*
-M02*
+G04 DipTrace 2.4.0.2*
+%INTopMask.gbr*%
+%MOIN*%
+%ADD42R,0.0236X0.0787*%
+%ADD44R,0.0197X0.0866*%
+%ADD48R,0.126X0.0197*%
+%ADD50R,0.1358X0.0925*%
+%ADD52R,0.0453X0.0925*%
+%ADD54R,0.0709X0.0197*%
+%ADD58R,0.0787X0.2638*%
+%ADD60R,0.0709X0.0787*%
+%ADD62R,0.0354X0.0433*%
+%ADD64C,0.0709*%
+%ADD66R,0.0709X0.0709*%
+%ADD68R,0.0433X0.0354*%
+%ADD70R,0.0866X0.1654*%
+%ADD72C,0.0748*%
+%ADD74R,0.0827X0.0827*%
+%FSLAX44Y44*%
+G04*
+G70*
+G90*
+G75*
+G01*
+%LNTopMask*%
+%LPD*%
+D74*
+X41378Y13063D3*
+D72*
+X33308D3*
+D70*
+X37363Y12543D3*
+D68*
+X8000Y17313D3*
+X7488D3*
+D66*
+X8062Y9875D3*
+D64*
+X7062D3*
+D68*
+X25689Y17939D3*
+X26201D3*
+D62*
+X22126Y15251D3*
+Y15763D3*
+D68*
+X24400Y9500D3*
+X24911D3*
+D60*
+X25189Y12188D3*
+X24087D3*
+D58*
+X9186Y5399D3*
+X10186D3*
+X11186D3*
+X12186D3*
+X13186D3*
+X14186D3*
+X15186D3*
+X16186D3*
+X17186D3*
+X18186D3*
+X19186D3*
+X20186D3*
+X21186D3*
+X22186D3*
+X23186D3*
+X24186D3*
+X25186D3*
+X26186D3*
+X27186D3*
+X28186D3*
+X29186D3*
+X30186D3*
+X31186D3*
+X32186D3*
+X33186D3*
+X34186D3*
+X35186D3*
+X36186D3*
+X37186D3*
+X38186D3*
+G36*
+X25628Y20813D2*
+X26376D1*
+Y20065D1*
+X25628D1*
+Y20813D1*
+G37*
+D72*
+X27002Y20439D3*
+X28002D3*
+X29002D3*
+X30002D3*
+D68*
+X24400Y10063D3*
+X24911D3*
+X24400Y10688D3*
+X24911D3*
+D52*
+X6875Y14126D3*
+X7780D3*
+X8686D3*
+D50*
+X7780Y16409D3*
+D48*
+X10000Y18000D3*
+Y17803D3*
+Y17606D3*
+Y17409D3*
+Y17212D3*
+Y17015D3*
+Y16818D3*
+Y16622D3*
+Y16425D3*
+Y16228D3*
+Y16031D3*
+Y15834D3*
+Y15637D3*
+Y15440D3*
+Y15244D3*
+Y15047D3*
+Y14850D3*
+Y14653D3*
+Y14456D3*
+Y14259D3*
+Y14063D3*
+Y13866D3*
+Y13669D3*
+Y13472D3*
+Y13275D3*
+Y13078D3*
+Y12881D3*
+Y12685D3*
+X17559D3*
+Y12881D3*
+Y13078D3*
+Y13275D3*
+Y13472D3*
+Y13669D3*
+Y13866D3*
+Y14063D3*
+Y14259D3*
+Y14456D3*
+Y14653D3*
+Y14850D3*
+Y15047D3*
+Y15244D3*
+Y15440D3*
+Y15637D3*
+Y15834D3*
+Y16031D3*
+Y16228D3*
+Y16425D3*
+Y16622D3*
+Y16818D3*
+Y17015D3*
+Y17212D3*
+Y17409D3*
+Y17606D3*
+Y17803D3*
+Y18000D3*
+D54*
+X23377Y16563D3*
+Y16366D3*
+Y16170D3*
+Y15973D3*
+Y15776D3*
+Y15579D3*
+Y15382D3*
+Y15185D3*
+Y14989D3*
+Y14792D3*
+Y14595D3*
+Y14398D3*
+Y14201D3*
+Y14004D3*
+Y13807D3*
+Y13611D3*
+X28573D3*
+Y13807D3*
+Y14004D3*
+Y14201D3*
+Y14398D3*
+Y14595D3*
+Y14792D3*
+Y14989D3*
+Y15185D3*
+Y15382D3*
+Y15579D3*
+Y15776D3*
+Y15973D3*
+Y16170D3*
+Y16366D3*
+Y16563D3*
+X31034Y13611D3*
+Y13807D3*
+Y14004D3*
+Y14201D3*
+Y14398D3*
+Y14595D3*
+Y14792D3*
+Y14989D3*
+Y15185D3*
+Y15382D3*
+Y15579D3*
+Y15776D3*
+Y15973D3*
+Y16170D3*
+Y16366D3*
+Y16563D3*
+D44*
+X11125Y8687D3*
+X11322D3*
+X11518D3*
+X11715D3*
+X11912D3*
+X12109D3*
+X12306D3*
+X12503D3*
+X12700D3*
+X12896D3*
+X13093D3*
+X13290D3*
+X13487D3*
+X13684D3*
+X13881D3*
+X14077D3*
+X14274D3*
+X14471D3*
+X14668D3*
+X14865D3*
+X15062D3*
+X15259D3*
+X15455D3*
+X15652D3*
+Y11640D3*
+X15455D3*
+X15259D3*
+X15062D3*
+X14865D3*
+X14668D3*
+X14471D3*
+X14274D3*
+X14077D3*
+X13881D3*
+X13684D3*
+X13487D3*
+X13290D3*
+X13093D3*
+X12896D3*
+X12700D3*
+X12503D3*
+X12306D3*
+X12109D3*
+X11912D3*
+X11715D3*
+X11518D3*
+X11322D3*
+X11125D3*
+X26564Y8813D3*
+X26761D3*
+X26958D3*
+X27155D3*
+X27352D3*
+X27549D3*
+X27745D3*
+X27942D3*
+X28139D3*
+X28336D3*
+X28533D3*
+X28730D3*
+X28927D3*
+X29123D3*
+X29320D3*
+X29517D3*
+X29714D3*
+X29911D3*
+X30108D3*
+X30305D3*
+X30501D3*
+X30698D3*
+X30895D3*
+X31092D3*
+Y11765D3*
+X30895D3*
+X30698D3*
+X30501D3*
+X30305D3*
+X30108D3*
+X29911D3*
+X29714D3*
+X29517D3*
+X29320D3*
+X29123D3*
+X28927D3*
+X28730D3*
+X28533D3*
+X28336D3*
+X28139D3*
+X27942D3*
+X27745D3*
+X27549D3*
+X27352D3*
+X27155D3*
+X26958D3*
+X26761D3*
+X26564D3*
+D42*
+X20001Y9188D3*
+X20257D3*
+X20513D3*
+X20769D3*
+X21025D3*
+X21281D3*
+X21537D3*
+X21793D3*
+X22048D3*
+X22304D3*
+X22560D3*
+X22816D3*
+Y11471D3*
+X22560D3*
+X22304D3*
+X22048D3*
+X21793D3*
+X21537D3*
+X21281D3*
+X21025D3*
+X20769D3*
+X20513D3*
+X20257D3*
+X20001D3*
+X32252Y9125D3*
+X32508D3*
+X32764D3*
+X33020D3*
+X33276D3*
+X33532D3*
+X33788D3*
+X34044D3*
+X34300D3*
+X34556D3*
+X34812D3*
+X35067D3*
+Y11409D3*
+X34812D3*
+X34556D3*
+X34300D3*
+X34044D3*
+X33788D3*
+X33532D3*
+X33276D3*
+X33020D3*
+X32764D3*
+X32508D3*
+X32252D3*
+M02*
diff --git a/board/TopSilk.gbr b/board/TopSilk.gbr
index ccc5830..005f552 100644
--- a/board/TopSilk.gbr
+++ b/board/TopSilk.gbr
@@ -1,1533 +1,1533 @@
-G04 DipTrace 2.4.0.2*
-%INTopSilk.gbr*%
-%MOIN*%
-%ADD10C,0.0098*%
-%ADD30C,0.0154*%
-%ADD76C,0.0077*%
-%ADD77C,0.0124*%
-%ADD78C,0.0062*%
-%FSLAX44Y44*%
-G04*
-G70*
-G90*
-G75*
-G01*
-%LNTopSilk*%
-%LPD*%
-X8861Y9993D2*
-D10*
-Y9757D1*
-X8979Y9875D2*
-X8743D1*
-X6562D2*
-G02X6562Y9875I1000J0D01*
-G01*
-X24756Y11873D2*
-X24520D1*
-X24756Y12503D2*
-X24520D1*
-X24682D2*
-Y11873D1*
-X24756Y12503D2*
-Y11873D1*
-X25502Y20939D2*
-X30502D1*
-Y19939D1*
-X25502D1*
-Y20939D1*
-D30*
-X6492Y13824D3*
-X6481Y14716D2*
-D10*
-X9079D1*
-X6481Y15818D2*
-X9079D1*
-Y14716D2*
-Y15818D1*
-X6481Y14716D2*
-Y15818D1*
-X10473Y18098D2*
-Y12586D1*
-X17086D1*
-Y18098D1*
-X14015D1*
-X10473D2*
-X13543D1*
-G03X14015Y18098I236J0D01*
-G01*
-X28105Y13310D2*
-X28101Y16859D1*
-X26211D1*
-X25739D2*
-G03X26211Y16859I236J-56D01*
-G01*
-X23869D2*
-X23868Y13341D1*
-X23869Y13315D2*
-X30562D1*
-X30564Y13343D2*
-X30562Y16859D1*
-X27570D1*
-X26861D2*
-X23869D1*
-X26861D2*
-G03X27570Y16859I355J0D01*
-G01*
-X10908Y9179D2*
-X15869D1*
-Y11147D1*
-X10908D1*
-Y10360D1*
-Y9179D2*
-Y9967D1*
-G03X10908Y10360I0J197D01*
-G01*
-X26348Y9305D2*
-X31308D1*
-Y11273D1*
-X26348D1*
-Y10486D1*
-Y9305D2*
-Y10092D1*
-G03X26348Y10486I0J197D01*
-G01*
-X19873Y9699D2*
-X22944D1*
-X19873Y10959D2*
-X22944D1*
-Y9699D2*
-Y10959D1*
-X19873Y9699D2*
-Y10132D1*
-Y10526D2*
-Y10959D1*
-Y10132D2*
-G03X19873Y10526I0J197D01*
-G01*
-X32124Y9637D2*
-X35196D1*
-X32124Y10897D2*
-X35196D1*
-Y9637D2*
-Y10897D1*
-X32124Y9637D2*
-Y10070D1*
-Y10464D2*
-Y10897D1*
-Y10070D2*
-G03X32124Y10464I0J197D01*
-G01*
-X8711Y17454D2*
-D76*
-X8688Y17501D1*
-X8639Y17550D1*
-X8592Y17573D1*
-X8496D1*
-X8448Y17550D1*
-X8401Y17501D1*
-X8376Y17454D1*
-X8353Y17382D1*
-Y17262D1*
-X8376Y17191D1*
-X8401Y17143D1*
-X8448Y17095D1*
-X8496Y17071D1*
-X8592D1*
-X8639Y17095D1*
-X8688Y17143D1*
-X8711Y17191D1*
-X8866Y17477D2*
-X8914Y17501D1*
-X8986Y17573D1*
-Y17071D1*
-X7415Y11519D2*
-X7391Y11567D1*
-X7343Y11615D1*
-X7296Y11639D1*
-X7200D1*
-X7152Y11615D1*
-X7105Y11567D1*
-X7080Y11519D1*
-X7057Y11447D1*
-Y11327D1*
-X7080Y11256D1*
-X7105Y11208D1*
-X7152Y11161D1*
-X7200Y11136D1*
-X7296D1*
-X7343Y11161D1*
-X7391Y11208D1*
-X7415Y11256D1*
-X7594Y11519D2*
-Y11542D1*
-X7618Y11591D1*
-X7641Y11614D1*
-X7690Y11638D1*
-X7785D1*
-X7833Y11614D1*
-X7856Y11591D1*
-X7881Y11542D1*
-Y11495D1*
-X7856Y11447D1*
-X7809Y11376D1*
-X7570Y11136D1*
-X7905D1*
-X26896Y18130D2*
-X26873Y18177D1*
-X26825Y18225D1*
-X26777Y18249D1*
-X26681D1*
-X26633Y18225D1*
-X26586Y18177D1*
-X26562Y18130D1*
-X26538Y18058D1*
-Y17938D1*
-X26562Y17867D1*
-X26586Y17818D1*
-X26633Y17771D1*
-X26681Y17747D1*
-X26777D1*
-X26825Y17771D1*
-X26873Y17818D1*
-X26896Y17867D1*
-X27338Y18177D2*
-X27314Y18225D1*
-X27242Y18248D1*
-X27195D1*
-X27123Y18225D1*
-X27075Y18153D1*
-X27051Y18033D1*
-Y17914D1*
-X27075Y17818D1*
-X27123Y17770D1*
-X27195Y17747D1*
-X27218D1*
-X27290Y17770D1*
-X27338Y17818D1*
-X27361Y17890D1*
-Y17914D1*
-X27338Y17986D1*
-X27290Y18033D1*
-X27218Y18057D1*
-X27195D1*
-X27123Y18033D1*
-X27075Y17986D1*
-X27051Y17914D1*
-X22001Y14442D2*
-X21953Y14418D1*
-X21905Y14370D1*
-X21881Y14322D1*
-Y14227D1*
-X21905Y14178D1*
-X21953Y14131D1*
-X22001Y14107D1*
-X22073Y14083D1*
-X22192D1*
-X22264Y14107D1*
-X22312Y14131D1*
-X22359Y14178D1*
-X22384Y14227D1*
-Y14322D1*
-X22359Y14370D1*
-X22312Y14418D1*
-X22264Y14442D1*
-X21882Y14715D2*
-X21906Y14644D1*
-X21953Y14620D1*
-X22001D1*
-X22049Y14644D1*
-X22073Y14692D1*
-X22097Y14787D1*
-X22121Y14859D1*
-X22169Y14907D1*
-X22216Y14930D1*
-X22288D1*
-X22336Y14907D1*
-X22360Y14883D1*
-X22384Y14811D1*
-Y14715D1*
-X22360Y14644D1*
-X22336Y14620D1*
-X22288Y14596D1*
-X22216D1*
-X22169Y14620D1*
-X22121Y14668D1*
-X22097Y14739D1*
-X22073Y14835D1*
-X22049Y14883D1*
-X22001Y14907D1*
-X21953D1*
-X21906Y14883D1*
-X21882Y14811D1*
-Y14715D1*
-X23560Y9656D2*
-X23537Y9703D1*
-X23489Y9751D1*
-X23441Y9775D1*
-X23345D1*
-X23297Y9751D1*
-X23250Y9703D1*
-X23225Y9656D1*
-X23202Y9584D1*
-Y9464D1*
-X23225Y9393D1*
-X23250Y9344D1*
-X23297Y9297D1*
-X23345Y9273D1*
-X23441D1*
-X23489Y9297D1*
-X23537Y9344D1*
-X23560Y9393D1*
-X23715Y9679D2*
-X23763Y9703D1*
-X23835Y9774D1*
-Y9273D1*
-X23989Y9679D2*
-X24037Y9703D1*
-X24109Y9774D1*
-Y9273D1*
-X24226Y11649D2*
-Y11147D1*
-X24393D1*
-X24465Y11171D1*
-X24513Y11219D1*
-X24537Y11267D1*
-X24561Y11338D1*
-Y11458D1*
-X24537Y11530D1*
-X24513Y11577D1*
-X24465Y11625D1*
-X24393Y11649D1*
-X24226D1*
-X24763D2*
-X25026D1*
-X24883Y11457D1*
-X24955D1*
-X25002Y11434D1*
-X25026Y11410D1*
-X25050Y11338D1*
-Y11291D1*
-X25026Y11219D1*
-X24978Y11171D1*
-X24906Y11147D1*
-X24835D1*
-X24763Y11171D1*
-X24740Y11195D1*
-X24715Y11242D1*
-X23726Y20768D2*
-Y20386D1*
-X23702Y20314D1*
-X23678Y20290D1*
-X23630Y20266D1*
-X23582D1*
-X23535Y20290D1*
-X23511Y20314D1*
-X23487Y20386D1*
-Y20433D1*
-X24048Y20768D2*
-Y20266D1*
-X23880Y20768D2*
-X24215D1*
-X24753Y20266D2*
-X24561Y20768D1*
-X24370Y20266D1*
-X24441Y20433D2*
-X24681D1*
-X25266Y20649D2*
-X25242Y20696D1*
-X25194Y20745D1*
-X25146Y20768D1*
-X25051D1*
-X25003Y20745D1*
-X24955Y20696D1*
-X24931Y20649D1*
-X24907Y20577D1*
-Y20457D1*
-X24931Y20386D1*
-X24955Y20338D1*
-X25003Y20290D1*
-X25051Y20266D1*
-X25146D1*
-X25194Y20290D1*
-X25242Y20338D1*
-X25266Y20386D1*
-Y20457D1*
-X25146D1*
-X23306Y10131D2*
-X23521D1*
-X23593Y10155D1*
-X23617Y10179D1*
-X23641Y10226D1*
-Y10275D1*
-X23617Y10322D1*
-X23593Y10346D1*
-X23521Y10370D1*
-X23306D1*
-Y9868D1*
-X23473Y10131D2*
-X23641Y9868D1*
-X23843Y10370D2*
-X24106D1*
-X23963Y10178D1*
-X24034D1*
-X24082Y10155D1*
-X24106Y10131D1*
-X24130Y10059D1*
-Y10011D1*
-X24106Y9940D1*
-X24058Y9892D1*
-X23986Y9868D1*
-X23914D1*
-X23843Y9892D1*
-X23819Y9916D1*
-X23795Y9963D1*
-X23306Y10756D2*
-X23521D1*
-X23593Y10780D1*
-X23617Y10804D1*
-X23641Y10852D1*
-Y10900D1*
-X23617Y10947D1*
-X23593Y10972D1*
-X23521Y10995D1*
-X23306D1*
-Y10493D1*
-X23473Y10756D2*
-X23641Y10493D1*
-X24082Y10995D2*
-X23843D1*
-X23819Y10780D1*
-X23843Y10804D1*
-X23915Y10828D1*
-X23986D1*
-X24058Y10804D1*
-X24106Y10756D1*
-X24130Y10684D1*
-Y10637D1*
-X24106Y10565D1*
-X24058Y10517D1*
-X23986Y10493D1*
-X23915D1*
-X23843Y10517D1*
-X23819Y10541D1*
-X23795Y10589D1*
-X7381Y13513D2*
-Y13154D1*
-X7405Y13083D1*
-X7453Y13035D1*
-X7525Y13011D1*
-X7572D1*
-X7644Y13035D1*
-X7692Y13083D1*
-X7716Y13154D1*
-Y13513D1*
-X7895Y13393D2*
-Y13417D1*
-X7918Y13465D1*
-X7942Y13489D1*
-X7990Y13513D1*
-X8086D1*
-X8133Y13489D1*
-X8157Y13465D1*
-X8181Y13417D1*
-Y13369D1*
-X8157Y13321D1*
-X8110Y13250D1*
-X7870Y13011D1*
-X8205D1*
-X13367Y18830D2*
-Y18472D1*
-X13391Y18400D1*
-X13439Y18352D1*
-X13511Y18328D1*
-X13558D1*
-X13630Y18352D1*
-X13678Y18400D1*
-X13702Y18472D1*
-Y18830D1*
-X13905D2*
-X14167D1*
-X14024Y18639D1*
-X14096D1*
-X14143Y18615D1*
-X14167Y18591D1*
-X14191Y18519D1*
-Y18472D1*
-X14167Y18400D1*
-X14120Y18352D1*
-X14048Y18328D1*
-X13976D1*
-X13905Y18352D1*
-X13881Y18376D1*
-X13856Y18424D1*
-X26009Y17518D2*
-Y17159D1*
-X26032Y17087D1*
-X26080Y17040D1*
-X26152Y17015D1*
-X26200D1*
-X26272Y17040D1*
-X26320Y17087D1*
-X26343Y17159D1*
-Y17518D1*
-X26785Y17517D2*
-X26546D1*
-X26522Y17302D1*
-X26546Y17326D1*
-X26618Y17350D1*
-X26689D1*
-X26761Y17326D1*
-X26809Y17278D1*
-X26833Y17207D1*
-Y17159D1*
-X26809Y17087D1*
-X26761Y17039D1*
-X26689Y17015D1*
-X26618D1*
-X26546Y17039D1*
-X26522Y17063D1*
-X26498Y17111D1*
-X9974Y10390D2*
-Y10032D1*
-X9997Y9960D1*
-X10045Y9912D1*
-X10117Y9888D1*
-X10165D1*
-X10237Y9912D1*
-X10285Y9960D1*
-X10308Y10032D1*
-Y10390D1*
-X10750Y10318D2*
-X10726Y10366D1*
-X10654Y10390D1*
-X10607D1*
-X10535Y10366D1*
-X10487Y10294D1*
-X10463Y10175D1*
-Y10055D1*
-X10487Y9960D1*
-X10535Y9912D1*
-X10607Y9888D1*
-X10630D1*
-X10702Y9912D1*
-X10750Y9960D1*
-X10773Y10032D1*
-Y10055D1*
-X10750Y10127D1*
-X10702Y10175D1*
-X10630Y10199D1*
-X10607D1*
-X10535Y10175D1*
-X10487Y10127D1*
-X10463Y10055D1*
-X25412Y10506D2*
-Y10147D1*
-X25436Y10075D1*
-X25484Y10028D1*
-X25556Y10004D1*
-X25603D1*
-X25675Y10028D1*
-X25723Y10075D1*
-X25747Y10147D1*
-Y10506D1*
-X25997Y10004D2*
-X26236Y10505D1*
-X25901D1*
-X20997Y12558D2*
-Y12199D1*
-X21021Y12127D1*
-X21069Y12080D1*
-X21141Y12055D1*
-X21188D1*
-X21260Y12080D1*
-X21308Y12127D1*
-X21332Y12199D1*
-Y12558D1*
-X21606Y12557D2*
-X21534Y12533D1*
-X21510Y12486D1*
-Y12438D1*
-X21534Y12390D1*
-X21582Y12366D1*
-X21677Y12342D1*
-X21749Y12318D1*
-X21797Y12270D1*
-X21821Y12223D1*
-Y12151D1*
-X21797Y12103D1*
-X21773Y12079D1*
-X21701Y12055D1*
-X21606D1*
-X21534Y12079D1*
-X21510Y12103D1*
-X21486Y12151D1*
-Y12223D1*
-X21510Y12270D1*
-X21558Y12318D1*
-X21629Y12342D1*
-X21725Y12366D1*
-X21773Y12390D1*
-X21797Y12438D1*
-Y12486D1*
-X21773Y12533D1*
-X21701Y12557D1*
-X21606D1*
-X33260Y12495D2*
-Y12136D1*
-X33283Y12065D1*
-X33332Y12017D1*
-X33403Y11993D1*
-X33451D1*
-X33523Y12017D1*
-X33571Y12065D1*
-X33595Y12136D1*
-Y12495D1*
-X34060Y12328D2*
-X34036Y12256D1*
-X33988Y12208D1*
-X33917Y12184D1*
-X33893D1*
-X33821Y12208D1*
-X33773Y12256D1*
-X33749Y12328D1*
-Y12351D1*
-X33773Y12423D1*
-X33821Y12471D1*
-X33893Y12495D1*
-X33917D1*
-X33988Y12471D1*
-X34036Y12423D1*
-X34060Y12328D1*
-Y12208D1*
-X34036Y12088D1*
-X33988Y12017D1*
-X33917Y11993D1*
-X33869D1*
-X33797Y12017D1*
-X33773Y12065D1*
-X10776Y20396D2*
-D77*
-X10738Y20472D1*
-X10661Y20549D1*
-X10585Y20587D1*
-X10432D1*
-X10355Y20549D1*
-X10279Y20472D1*
-X10240Y20396D1*
-X10202Y20281D1*
-Y20089D1*
-X10240Y19975D1*
-X10279Y19898D1*
-X10355Y19822D1*
-X10432Y19783D1*
-X10585D1*
-X10661Y19822D1*
-X10738Y19898D1*
-X10776Y19975D1*
-X11253Y20587D2*
-X11176Y20549D1*
-X11100Y20472D1*
-X11061Y20396D1*
-X11023Y20281D1*
-Y20089D1*
-X11061Y19975D1*
-X11100Y19898D1*
-X11176Y19822D1*
-X11253Y19783D1*
-X11406D1*
-X11482Y19822D1*
-X11559Y19898D1*
-X11597Y19975D1*
-X11635Y20089D1*
-Y20281D1*
-X11597Y20396D1*
-X11559Y20472D1*
-X11482Y20549D1*
-X11406Y20587D1*
-X11253D1*
-X12112D2*
-X12035Y20549D1*
-X11959Y20472D1*
-X11920Y20396D1*
-X11882Y20281D1*
-Y20089D1*
-X11920Y19975D1*
-X11959Y19898D1*
-X12035Y19822D1*
-X12112Y19783D1*
-X12265D1*
-X12341Y19822D1*
-X12418Y19898D1*
-X12456Y19975D1*
-X12494Y20089D1*
-Y20281D1*
-X12456Y20396D1*
-X12418Y20472D1*
-X12341Y20549D1*
-X12265Y20587D1*
-X12112D1*
-X12741D2*
-Y19783D1*
-X13200D1*
-X14021Y20396D2*
-X13983Y20472D1*
-X13906Y20549D1*
-X13830Y20587D1*
-X13677D1*
-X13600Y20549D1*
-X13524Y20472D1*
-X13485Y20396D1*
-X13447Y20281D1*
-Y20089D1*
-X13485Y19975D1*
-X13524Y19898D1*
-X13600Y19822D1*
-X13677Y19783D1*
-X13830D1*
-X13906Y19822D1*
-X13983Y19898D1*
-X14021Y19975D1*
-Y20089D1*
-X13830D1*
-X14268Y20587D2*
-Y19783D1*
-X14515Y20204D2*
-X14859D1*
-X14974Y20243D1*
-X15013Y20281D1*
-X15051Y20357D1*
-Y20434D1*
-X15013Y20510D1*
-X14974Y20549D1*
-X14859Y20587D1*
-X14515D1*
-Y19783D1*
-X14783Y20204D2*
-X15051Y19783D1*
-X15298Y20587D2*
-Y19783D1*
-X15757D1*
-X17393D2*
-Y20587D1*
-X17087Y19783D1*
-X16782Y20587D1*
-Y19783D1*
-X17640Y20587D2*
-Y20013D1*
-X17679Y19898D1*
-X17755Y19822D1*
-X17870Y19783D1*
-X17946D1*
-X18061Y19822D1*
-X18138Y19898D1*
-X18176Y20013D1*
-Y20587D1*
-X18423D2*
-Y19783D1*
-X18882D1*
-X19397Y20587D2*
-Y19783D1*
-X19129Y20587D2*
-X19665D1*
-X19912D2*
-Y19783D1*
-X20733Y20396D2*
-X20695Y20472D1*
-X20618Y20549D1*
-X20542Y20587D1*
-X20389D1*
-X20312Y20549D1*
-X20236Y20472D1*
-X20197Y20396D1*
-X20159Y20281D1*
-Y20089D1*
-X20197Y19975D1*
-X20236Y19898D1*
-X20312Y19822D1*
-X20389Y19783D1*
-X20542D1*
-X20618Y19822D1*
-X20695Y19898D1*
-X20733Y19975D1*
-X21593Y19783D2*
-X21286Y20587D1*
-X20980Y19783D1*
-X21095Y20051D2*
-X21478D1*
-X21840Y20204D2*
-X22184D1*
-X22299Y20243D1*
-X22338Y20281D1*
-X22376Y20357D1*
-Y20434D1*
-X22338Y20510D1*
-X22299Y20549D1*
-X22184Y20587D1*
-X21840D1*
-Y19783D1*
-X22108Y20204D2*
-X22376Y19783D1*
-X22891Y20587D2*
-Y19783D1*
-X22623Y20587D2*
-X23159D1*
-X18454Y19256D2*
-Y18721D1*
-Y19027D2*
-X18493Y19142D1*
-X18569Y19218D1*
-X18646Y19256D1*
-X18761D1*
-X19008Y19027D2*
-X19467D1*
-Y19103D1*
-X19429Y19180D1*
-X19391Y19218D1*
-X19314Y19256D1*
-X19199D1*
-X19123Y19218D1*
-X19046Y19142D1*
-X19008Y19027D1*
-Y18951D1*
-X19046Y18836D1*
-X19123Y18759D1*
-X19199Y18721D1*
-X19314D1*
-X19391Y18759D1*
-X19467Y18836D1*
-X19714Y19256D2*
-X19944Y18721D1*
-X20173Y19256D1*
-X20458Y18798D2*
-X20420Y18759D1*
-X20458Y18721D1*
-X20497Y18759D1*
-X20458Y18798D1*
-X21561Y19333D2*
-Y19371D1*
-X21599Y19447D1*
-X21637Y19486D1*
-X21714Y19524D1*
-X21866D1*
-X21943Y19486D1*
-X21981Y19447D1*
-X22019Y19371D1*
-Y19295D1*
-X21981Y19218D1*
-X21905Y19103D1*
-X21522Y18721D1*
-X22058D1*
-X22343Y18798D2*
-X22305Y18759D1*
-X22343Y18721D1*
-X22381Y18759D1*
-X22343Y18798D1*
-X22628Y19371D2*
-X22705Y19409D1*
-X22820Y19524D1*
-Y18721D1*
-X9666Y6821D2*
-D78*
-Y7223D1*
-X9513Y6821D1*
-X9360Y7223D1*
-Y6821D1*
-X10095D2*
-X9942Y7223D1*
-X9789Y6821D1*
-X9847Y6955D2*
-X10038D1*
-X10219Y7223D2*
-Y6821D1*
-X10353D1*
-X10410Y6840D1*
-X10449Y6878D1*
-X10468Y6917D1*
-X10487Y6974D1*
-Y7070D1*
-X10468Y7127D1*
-X10449Y7165D1*
-X10410Y7204D1*
-X10353Y7223D1*
-X10219D1*
-X10859D2*
-X10610D1*
-Y6821D1*
-X10859D1*
-X10610Y7031D2*
-X10763D1*
-X11371Y7223D2*
-Y6821D1*
-X11763Y7223D2*
-Y6821D1*
-X11495Y7223D1*
-Y6821D1*
-X12275Y7031D2*
-X12447D1*
-X12505Y7051D1*
-X12524Y7070D1*
-X12543Y7108D1*
-Y7146D1*
-X12524Y7184D1*
-X12505Y7204D1*
-X12447Y7223D1*
-X12275D1*
-Y6821D1*
-X12409Y7031D2*
-X12543Y6821D1*
-X12666Y7223D2*
-Y6936D1*
-X12686Y6878D1*
-X12724Y6840D1*
-X12781Y6821D1*
-X12819D1*
-X12877Y6840D1*
-X12915Y6878D1*
-X12934Y6936D1*
-Y7223D1*
-X13326Y7165D2*
-X13288Y7204D1*
-X13230Y7223D1*
-X13154D1*
-X13096Y7204D1*
-X13058Y7165D1*
-Y7127D1*
-X13077Y7089D1*
-X13096Y7070D1*
-X13134Y7051D1*
-X13249Y7012D1*
-X13288Y6993D1*
-X13307Y6974D1*
-X13326Y6936D1*
-Y6878D1*
-X13288Y6840D1*
-X13230Y6821D1*
-X13154D1*
-X13096Y6840D1*
-X13058Y6878D1*
-X13717Y7165D2*
-X13679Y7204D1*
-X13622Y7223D1*
-X13545D1*
-X13488Y7204D1*
-X13449Y7165D1*
-Y7127D1*
-X13469Y7089D1*
-X13488Y7070D1*
-X13526Y7051D1*
-X13641Y7012D1*
-X13679Y6993D1*
-X13698Y6974D1*
-X13717Y6936D1*
-Y6878D1*
-X13679Y6840D1*
-X13622Y6821D1*
-X13545D1*
-X13488Y6840D1*
-X13449Y6878D1*
-X13841Y7223D2*
-Y6821D1*
-X14271D2*
-X14117Y7223D1*
-X13964Y6821D1*
-X14022Y6955D2*
-X14213D1*
-X22249Y8207D2*
-X22000D1*
-Y7805D1*
-Y8016D2*
-X22153D1*
-X22372D2*
-X22544D1*
-X22602Y8035D1*
-X22621Y8054D1*
-X22640Y8092D1*
-Y8131D1*
-X22621Y8169D1*
-X22602Y8188D1*
-X22544Y8207D1*
-X22372D1*
-Y7805D1*
-X22506Y8016D2*
-X22640Y7805D1*
-X22879Y8207D2*
-X22840Y8188D1*
-X22802Y8150D1*
-X22783Y8112D1*
-X22764Y8054D1*
-Y7958D1*
-X22783Y7901D1*
-X22802Y7863D1*
-X22840Y7825D1*
-X22879Y7805D1*
-X22955D1*
-X22993Y7825D1*
-X23032Y7863D1*
-X23051Y7901D1*
-X23070Y7958D1*
-Y8054D1*
-X23051Y8112D1*
-X23032Y8150D1*
-X22993Y8188D1*
-X22955Y8207D1*
-X22879D1*
-X23461D2*
-Y7805D1*
-X23193Y8207D1*
-Y7805D1*
-X23719Y8207D2*
-Y7805D1*
-X23585Y8207D2*
-X23853D1*
-X24633Y8150D2*
-X24595Y8188D1*
-X24538Y8207D1*
-X24461D1*
-X24404Y8188D1*
-X24365Y8150D1*
-Y8112D1*
-X24385Y8073D1*
-X24404Y8054D1*
-X24442Y8035D1*
-X24557Y7997D1*
-X24595Y7978D1*
-X24614Y7958D1*
-X24633Y7920D1*
-Y7863D1*
-X24595Y7825D1*
-X24538Y7805D1*
-X24461D1*
-X24404Y7825D1*
-X24365Y7863D1*
-X24757Y8207D2*
-Y7805D1*
-X24880Y8207D2*
-Y7805D1*
-X25014D1*
-X25072Y7825D1*
-X25110Y7863D1*
-X25129Y7901D1*
-X25148Y7958D1*
-Y8054D1*
-X25129Y8112D1*
-X25110Y8150D1*
-X25072Y8188D1*
-X25014Y8207D1*
-X24880D1*
-X25520D2*
-X25272D1*
-Y7805D1*
-X25520D1*
-X25272Y8016D2*
-X25425D1*
-X25905Y18984D2*
-X25867Y18965D1*
-X25829Y18927D1*
-X25810Y18889D1*
-Y18812D1*
-X25829Y18774D1*
-X25867Y18736D1*
-X25905Y18716D1*
-X25963Y18697D1*
-X26058D1*
-X26116Y18716D1*
-X26154Y18736D1*
-X26192Y18774D1*
-X26211Y18812D1*
-Y18889D1*
-X26192Y18927D1*
-X26154Y18965D1*
-X26116Y18984D1*
-X26058D1*
-Y18889D1*
-X25810Y19376D2*
-X26211D1*
-X25810Y19108D1*
-X26211D1*
-X25810Y19499D2*
-X26211D1*
-Y19633D1*
-X26192Y19691D1*
-X26154Y19729D1*
-X26116Y19748D1*
-X26058Y19767D1*
-X25963D1*
-X25905Y19748D1*
-X25867Y19729D1*
-X25829Y19691D1*
-X25810Y19633D1*
-Y19499D1*
-X29935Y18831D2*
-X30336D1*
-X29935Y18697D2*
-Y18965D1*
-Y19089D2*
-X30336D1*
-Y19223D1*
-X30317Y19280D1*
-X30279Y19319D1*
-X30241Y19338D1*
-X30183Y19357D1*
-X30088D1*
-X30030Y19338D1*
-X29992Y19319D1*
-X29954Y19280D1*
-X29935Y19223D1*
-Y19089D1*
-Y19595D2*
-X29954Y19557D1*
-X29992Y19519D1*
-X30030Y19499D1*
-X30088Y19480D1*
-X30183D1*
-X30241Y19499D1*
-X30279Y19519D1*
-X30317Y19557D1*
-X30336Y19595D1*
-Y19672D1*
-X30317Y19710D1*
-X30279Y19748D1*
-X30241Y19767D1*
-X30183Y19786D1*
-X30088D1*
-X30030Y19767D1*
-X29992Y19748D1*
-X29954Y19710D1*
-X29935Y19672D1*
-Y19595D1*
-X33521Y7127D2*
-X33502Y7165D1*
-X33464Y7204D1*
-X33426Y7223D1*
-X33349D1*
-X33311Y7204D1*
-X33273Y7165D1*
-X33254Y7127D1*
-X33235Y7070D1*
-Y6974D1*
-X33254Y6917D1*
-X33273Y6878D1*
-X33311Y6840D1*
-X33349Y6821D1*
-X33426D1*
-X33464Y6840D1*
-X33502Y6878D1*
-X33521Y6917D1*
-X33645Y7223D2*
-Y6821D1*
-X33874D1*
-X33998Y7223D2*
-Y6936D1*
-X34017Y6878D1*
-X34055Y6840D1*
-X34113Y6821D1*
-X34151D1*
-X34208Y6840D1*
-X34247Y6878D1*
-X34266Y6936D1*
-Y7223D1*
-X34657Y7165D2*
-X34619Y7204D1*
-X34562Y7223D1*
-X34485D1*
-X34428Y7204D1*
-X34389Y7165D1*
-Y7127D1*
-X34409Y7089D1*
-X34428Y7070D1*
-X34466Y7051D1*
-X34581Y7012D1*
-X34619Y6993D1*
-X34638Y6974D1*
-X34657Y6936D1*
-Y6878D1*
-X34619Y6840D1*
-X34562Y6821D1*
-X34485D1*
-X34428Y6840D1*
-X34389Y6878D1*
-X34915Y7223D2*
-Y6821D1*
-X34781Y7223D2*
-X35049D1*
-X35421D2*
-X35172D1*
-Y6821D1*
-X35421D1*
-X35172Y7031D2*
-X35325D1*
-X35544D2*
-X35716D1*
-X35774Y7051D1*
-X35793Y7070D1*
-X35812Y7108D1*
-Y7146D1*
-X35793Y7184D1*
-X35774Y7204D1*
-X35716Y7223D1*
-X35544D1*
-Y6821D1*
-X35678Y7031D2*
-X35812Y6821D1*
-X35936Y7031D2*
-X36108D1*
-X36165Y7051D1*
-X36185Y7070D1*
-X36204Y7108D1*
-Y7146D1*
-X36185Y7184D1*
-X36165Y7204D1*
-X36108Y7223D1*
-X35936D1*
-Y6821D1*
-X36070Y7031D2*
-X36204Y6821D1*
-X36327Y7031D2*
-X36499D1*
-X36557Y7051D1*
-X36576Y7070D1*
-X36595Y7108D1*
-Y7146D1*
-X36576Y7184D1*
-X36557Y7204D1*
-X36499Y7223D1*
-X36327D1*
-Y6821D1*
-X36461Y7031D2*
-X36595Y6821D1*
-X36738Y6859D2*
-X36719Y6840D1*
-X36738Y6821D1*
-X36757Y6840D1*
-X36738Y6859D1*
-X37168Y7127D2*
-X37149Y7165D1*
-X37110Y7204D1*
-X37072Y7223D1*
-X36996D1*
-X36957Y7204D1*
-X36919Y7165D1*
-X36900Y7127D1*
-X36881Y7070D1*
-Y6974D1*
-X36900Y6917D1*
-X36919Y6878D1*
-X36957Y6840D1*
-X36996Y6821D1*
-X37072D1*
-X37110Y6840D1*
-X37149Y6878D1*
-X37168Y6917D1*
-X37406Y7223D2*
-X37368Y7204D1*
-X37330Y7165D1*
-X37310Y7127D1*
-X37291Y7070D1*
-Y6974D1*
-X37310Y6917D1*
-X37330Y6878D1*
-X37368Y6840D1*
-X37406Y6821D1*
-X37483D1*
-X37521Y6840D1*
-X37559Y6878D1*
-X37578Y6917D1*
-X37597Y6974D1*
-Y7070D1*
-X37578Y7127D1*
-X37559Y7165D1*
-X37521Y7204D1*
-X37483Y7223D1*
-X37406D1*
-X38027Y6821D2*
-Y7223D1*
-X37874Y6821D1*
-X37721Y7223D1*
-Y6821D1*
-X37019Y10917D2*
-X37000Y10937D1*
-X37019Y10956D1*
-X37038Y10937D1*
-Y10898D1*
-X37019Y10860D1*
-X37000Y10841D1*
-X37096Y10917D2*
-X37076Y10937D1*
-X37096Y10956D1*
-X37115Y10937D1*
-Y10898D1*
-X37096Y10860D1*
-X37076Y10841D1*
-X37525Y10861D2*
-X37506Y10899D1*
-X37468Y10937D1*
-X37430Y10956D1*
-X37353D1*
-X37315Y10937D1*
-X37277Y10899D1*
-X37257Y10861D1*
-X37238Y10803D1*
-Y10707D1*
-X37257Y10650D1*
-X37277Y10612D1*
-X37315Y10574D1*
-X37353Y10554D1*
-X37430D1*
-X37468Y10574D1*
-X37506Y10612D1*
-X37525Y10650D1*
-X37649Y10956D2*
-Y10554D1*
-X37878D1*
-X38002Y10956D2*
-Y10669D1*
-X38021Y10612D1*
-X38059Y10574D1*
-X38117Y10554D1*
-X38155D1*
-X38212Y10574D1*
-X38251Y10612D1*
-X38270Y10669D1*
-Y10956D1*
-X38661Y10899D2*
-X38623Y10937D1*
-X38566Y10956D1*
-X38489D1*
-X38432Y10937D1*
-X38393Y10899D1*
-Y10861D1*
-X38413Y10822D1*
-X38432Y10803D1*
-X38470Y10784D1*
-X38585Y10746D1*
-X38623Y10727D1*
-X38642Y10707D1*
-X38661Y10669D1*
-Y10612D1*
-X38623Y10574D1*
-X38566Y10554D1*
-X38489D1*
-X38432Y10574D1*
-X38393Y10612D1*
-X38919Y10956D2*
-Y10554D1*
-X38785Y10956D2*
-X39053D1*
-X39425D2*
-X39176D1*
-Y10554D1*
-X39425D1*
-X39176Y10765D2*
-X39329D1*
-X39548D2*
-X39720D1*
-X39778Y10784D1*
-X39797Y10803D1*
-X39816Y10841D1*
-Y10880D1*
-X39797Y10918D1*
-X39778Y10937D1*
-X39720Y10956D1*
-X39548D1*
-Y10554D1*
-X39682Y10765D2*
-X39816Y10554D1*
-X39959Y10917D2*
-X39940Y10937D1*
-X39959Y10956D1*
-X39978Y10937D1*
-Y10898D1*
-X39959Y10860D1*
-X39940Y10841D1*
-X40036Y10917D2*
-X40016Y10937D1*
-X40036Y10956D1*
-X40055Y10937D1*
-Y10898D1*
-X40036Y10860D1*
-X40016Y10841D1*
-X37681Y9867D2*
-X37528Y10269D1*
-X37375Y9867D1*
-X37432Y10001D2*
-X37624D1*
-X37805Y10269D2*
-X37958Y9867D1*
-X38111Y10269D1*
-X38234D2*
-Y9867D1*
-X38368D1*
-X38426Y9886D1*
-X38464Y9924D1*
-X38483Y9963D1*
-X38502Y10020D1*
-Y10116D1*
-X38483Y10173D1*
-X38464Y10211D1*
-X38426Y10250D1*
-X38368Y10269D1*
-X38234D1*
-X38626D2*
-X38779Y10077D1*
-Y9867D1*
-X38932Y10269D2*
-X38779Y10077D1*
-X39055Y10269D2*
-Y9982D1*
-X39074Y9924D1*
-X39113Y9886D1*
-X39170Y9867D1*
-X39208D1*
-X39266Y9886D1*
-X39304Y9924D1*
-X39323Y9982D1*
-Y10269D1*
-X39447D2*
-Y9867D1*
-X39715Y10269D2*
-X39447Y10001D1*
-X39542Y10097D2*
-X39715Y9867D1*
-X39838Y10269D2*
-Y9867D1*
-X40106Y10269D2*
-Y9867D1*
-X39838Y10077D2*
-X40106D1*
-X40230Y10269D2*
-Y9867D1*
-X40621Y10269D2*
-Y9867D1*
-X40353Y10269D1*
-Y9867D1*
-X36125Y11644D2*
-Y11242D1*
-X36297D1*
-X36355Y11261D1*
-X36374Y11280D1*
-X36393Y11318D1*
-Y11376D1*
-X36374Y11414D1*
-X36355Y11433D1*
-X36297Y11452D1*
-X36355Y11472D1*
-X36374Y11491D1*
-X36393Y11529D1*
-Y11567D1*
-X36374Y11605D1*
-X36355Y11625D1*
-X36297Y11644D1*
-X36125D1*
-Y11452D2*
-X36297D1*
-X36516Y11644D2*
-X36669Y11452D1*
-Y11242D1*
-X36822Y11644D2*
-X36669Y11452D1*
-X37641Y11242D2*
-X37488Y11644D1*
-X37335Y11242D1*
-X37392Y11376D2*
-X37584D1*
-X37765Y11644D2*
-Y11242D1*
-X37994D1*
-X38366Y11644D2*
-X38118D1*
-Y11242D1*
-X38366D1*
-X38118Y11452D2*
-X38270D1*
-X38490Y11644D2*
-X38757Y11242D1*
-Y11644D2*
-X38490Y11242D1*
-X39129Y11644D2*
-X38881D1*
-Y11242D1*
-X39129D1*
-X38881Y11452D2*
-X39034D1*
-X39253Y11644D2*
-X39406Y11452D1*
-Y11242D1*
-X39559Y11644D2*
-X39406Y11452D1*
-X26810Y18831D2*
-X27212D1*
-X26810Y18697D2*
-Y18965D1*
-X27212Y19395D2*
-X26810D1*
-X27212Y19242D1*
-X26810Y19089D1*
-X27212D1*
-X26867Y19786D2*
-X26829Y19748D1*
-X26810Y19691D1*
-Y19614D1*
-X26829Y19557D1*
-X26867Y19518D1*
-X26905D1*
-X26944Y19538D1*
-X26963Y19557D1*
-X26982Y19595D1*
-X27020Y19710D1*
-X27039Y19748D1*
-X27059Y19767D1*
-X27097Y19786D1*
-X27154D1*
-X27192Y19748D1*
-X27212Y19691D1*
-Y19614D1*
-X27192Y19557D1*
-X27154Y19518D1*
-X27810Y18831D2*
-X28212D1*
-X27810Y18697D2*
-Y18965D1*
-Y19089D2*
-X28212D1*
-Y19223D1*
-X28192Y19280D1*
-X28154Y19319D1*
-X28116Y19338D1*
-X28059Y19357D1*
-X27963D1*
-X27905Y19338D1*
-X27867Y19319D1*
-X27829Y19280D1*
-X27810Y19223D1*
-Y19089D1*
-Y19480D2*
-X28212D1*
-X28747Y18831D2*
-X29149D1*
-X28747Y18697D2*
-Y18965D1*
-X28843Y19376D2*
-X28805Y19357D1*
-X28766Y19318D1*
-X28747Y19280D1*
-Y19204D1*
-X28766Y19165D1*
-X28805Y19127D1*
-X28843Y19108D1*
-X28900Y19089D1*
-X28996D1*
-X29053Y19108D1*
-X29092Y19127D1*
-X29130Y19165D1*
-X29149Y19204D1*
-Y19280D1*
-X29130Y19318D1*
-X29092Y19357D1*
-X29053Y19376D1*
-X28747Y19499D2*
-X29149D1*
-X28747Y19767D2*
-X29015Y19499D1*
-X28919Y19595D2*
-X29149Y19767D1*
-M02*
+G04 DipTrace 2.4.0.2*
+%INTopSilk.gbr*%
+%MOIN*%
+%ADD10C,0.0098*%
+%ADD30C,0.0154*%
+%ADD76C,0.0077*%
+%ADD77C,0.0124*%
+%ADD78C,0.0062*%
+%FSLAX44Y44*%
+G04*
+G70*
+G90*
+G75*
+G01*
+%LNTopSilk*%
+%LPD*%
+X8861Y9993D2*
+D10*
+Y9757D1*
+X8979Y9875D2*
+X8743D1*
+X6562D2*
+G02X6562Y9875I1000J0D01*
+G01*
+X24756Y11873D2*
+X24520D1*
+X24756Y12503D2*
+X24520D1*
+X24682D2*
+Y11873D1*
+X24756Y12503D2*
+Y11873D1*
+X25502Y20939D2*
+X30502D1*
+Y19939D1*
+X25502D1*
+Y20939D1*
+D30*
+X6492Y13824D3*
+X6481Y14716D2*
+D10*
+X9079D1*
+X6481Y15818D2*
+X9079D1*
+Y14716D2*
+Y15818D1*
+X6481Y14716D2*
+Y15818D1*
+X10473Y18098D2*
+Y12586D1*
+X17086D1*
+Y18098D1*
+X14015D1*
+X10473D2*
+X13543D1*
+G03X14015Y18098I236J0D01*
+G01*
+X28105Y13310D2*
+X28101Y16859D1*
+X26211D1*
+X25739D2*
+G03X26211Y16859I236J-56D01*
+G01*
+X23869D2*
+X23868Y13341D1*
+X23869Y13315D2*
+X30562D1*
+X30564Y13343D2*
+X30562Y16859D1*
+X27570D1*
+X26861D2*
+X23869D1*
+X26861D2*
+G03X27570Y16859I355J0D01*
+G01*
+X10908Y9179D2*
+X15869D1*
+Y11147D1*
+X10908D1*
+Y10360D1*
+Y9179D2*
+Y9967D1*
+G03X10908Y10360I0J197D01*
+G01*
+X26348Y9305D2*
+X31308D1*
+Y11273D1*
+X26348D1*
+Y10486D1*
+Y9305D2*
+Y10092D1*
+G03X26348Y10486I0J197D01*
+G01*
+X19873Y9699D2*
+X22944D1*
+X19873Y10959D2*
+X22944D1*
+Y9699D2*
+Y10959D1*
+X19873Y9699D2*
+Y10132D1*
+Y10526D2*
+Y10959D1*
+Y10132D2*
+G03X19873Y10526I0J197D01*
+G01*
+X32124Y9637D2*
+X35196D1*
+X32124Y10897D2*
+X35196D1*
+Y9637D2*
+Y10897D1*
+X32124Y9637D2*
+Y10070D1*
+Y10464D2*
+Y10897D1*
+Y10070D2*
+G03X32124Y10464I0J197D01*
+G01*
+X8711Y17454D2*
+D76*
+X8688Y17501D1*
+X8639Y17550D1*
+X8592Y17573D1*
+X8496D1*
+X8448Y17550D1*
+X8401Y17501D1*
+X8376Y17454D1*
+X8353Y17382D1*
+Y17262D1*
+X8376Y17191D1*
+X8401Y17143D1*
+X8448Y17095D1*
+X8496Y17071D1*
+X8592D1*
+X8639Y17095D1*
+X8688Y17143D1*
+X8711Y17191D1*
+X8866Y17477D2*
+X8914Y17501D1*
+X8986Y17573D1*
+Y17071D1*
+X7415Y11519D2*
+X7391Y11567D1*
+X7343Y11615D1*
+X7296Y11639D1*
+X7200D1*
+X7152Y11615D1*
+X7105Y11567D1*
+X7080Y11519D1*
+X7057Y11447D1*
+Y11327D1*
+X7080Y11256D1*
+X7105Y11208D1*
+X7152Y11161D1*
+X7200Y11136D1*
+X7296D1*
+X7343Y11161D1*
+X7391Y11208D1*
+X7415Y11256D1*
+X7594Y11519D2*
+Y11542D1*
+X7618Y11591D1*
+X7641Y11614D1*
+X7690Y11638D1*
+X7785D1*
+X7833Y11614D1*
+X7856Y11591D1*
+X7881Y11542D1*
+Y11495D1*
+X7856Y11447D1*
+X7809Y11376D1*
+X7570Y11136D1*
+X7905D1*
+X26896Y18130D2*
+X26873Y18177D1*
+X26825Y18225D1*
+X26777Y18249D1*
+X26681D1*
+X26633Y18225D1*
+X26586Y18177D1*
+X26562Y18130D1*
+X26538Y18058D1*
+Y17938D1*
+X26562Y17867D1*
+X26586Y17818D1*
+X26633Y17771D1*
+X26681Y17747D1*
+X26777D1*
+X26825Y17771D1*
+X26873Y17818D1*
+X26896Y17867D1*
+X27338Y18177D2*
+X27314Y18225D1*
+X27242Y18248D1*
+X27195D1*
+X27123Y18225D1*
+X27075Y18153D1*
+X27051Y18033D1*
+Y17914D1*
+X27075Y17818D1*
+X27123Y17770D1*
+X27195Y17747D1*
+X27218D1*
+X27290Y17770D1*
+X27338Y17818D1*
+X27361Y17890D1*
+Y17914D1*
+X27338Y17986D1*
+X27290Y18033D1*
+X27218Y18057D1*
+X27195D1*
+X27123Y18033D1*
+X27075Y17986D1*
+X27051Y17914D1*
+X22001Y14442D2*
+X21953Y14418D1*
+X21905Y14370D1*
+X21881Y14322D1*
+Y14227D1*
+X21905Y14178D1*
+X21953Y14131D1*
+X22001Y14107D1*
+X22073Y14083D1*
+X22192D1*
+X22264Y14107D1*
+X22312Y14131D1*
+X22359Y14178D1*
+X22384Y14227D1*
+Y14322D1*
+X22359Y14370D1*
+X22312Y14418D1*
+X22264Y14442D1*
+X21882Y14715D2*
+X21906Y14644D1*
+X21953Y14620D1*
+X22001D1*
+X22049Y14644D1*
+X22073Y14692D1*
+X22097Y14787D1*
+X22121Y14859D1*
+X22169Y14907D1*
+X22216Y14930D1*
+X22288D1*
+X22336Y14907D1*
+X22360Y14883D1*
+X22384Y14811D1*
+Y14715D1*
+X22360Y14644D1*
+X22336Y14620D1*
+X22288Y14596D1*
+X22216D1*
+X22169Y14620D1*
+X22121Y14668D1*
+X22097Y14739D1*
+X22073Y14835D1*
+X22049Y14883D1*
+X22001Y14907D1*
+X21953D1*
+X21906Y14883D1*
+X21882Y14811D1*
+Y14715D1*
+X23560Y9656D2*
+X23537Y9703D1*
+X23489Y9751D1*
+X23441Y9775D1*
+X23345D1*
+X23297Y9751D1*
+X23250Y9703D1*
+X23225Y9656D1*
+X23202Y9584D1*
+Y9464D1*
+X23225Y9393D1*
+X23250Y9344D1*
+X23297Y9297D1*
+X23345Y9273D1*
+X23441D1*
+X23489Y9297D1*
+X23537Y9344D1*
+X23560Y9393D1*
+X23715Y9679D2*
+X23763Y9703D1*
+X23835Y9774D1*
+Y9273D1*
+X23989Y9679D2*
+X24037Y9703D1*
+X24109Y9774D1*
+Y9273D1*
+X24226Y11649D2*
+Y11147D1*
+X24393D1*
+X24465Y11171D1*
+X24513Y11219D1*
+X24537Y11267D1*
+X24561Y11338D1*
+Y11458D1*
+X24537Y11530D1*
+X24513Y11577D1*
+X24465Y11625D1*
+X24393Y11649D1*
+X24226D1*
+X24763D2*
+X25026D1*
+X24883Y11457D1*
+X24955D1*
+X25002Y11434D1*
+X25026Y11410D1*
+X25050Y11338D1*
+Y11291D1*
+X25026Y11219D1*
+X24978Y11171D1*
+X24906Y11147D1*
+X24835D1*
+X24763Y11171D1*
+X24740Y11195D1*
+X24715Y11242D1*
+X23726Y20768D2*
+Y20386D1*
+X23702Y20314D1*
+X23678Y20290D1*
+X23630Y20266D1*
+X23582D1*
+X23535Y20290D1*
+X23511Y20314D1*
+X23487Y20386D1*
+Y20433D1*
+X24048Y20768D2*
+Y20266D1*
+X23880Y20768D2*
+X24215D1*
+X24753Y20266D2*
+X24561Y20768D1*
+X24370Y20266D1*
+X24441Y20433D2*
+X24681D1*
+X25266Y20649D2*
+X25242Y20696D1*
+X25194Y20745D1*
+X25146Y20768D1*
+X25051D1*
+X25003Y20745D1*
+X24955Y20696D1*
+X24931Y20649D1*
+X24907Y20577D1*
+Y20457D1*
+X24931Y20386D1*
+X24955Y20338D1*
+X25003Y20290D1*
+X25051Y20266D1*
+X25146D1*
+X25194Y20290D1*
+X25242Y20338D1*
+X25266Y20386D1*
+Y20457D1*
+X25146D1*
+X23306Y10131D2*
+X23521D1*
+X23593Y10155D1*
+X23617Y10179D1*
+X23641Y10226D1*
+Y10275D1*
+X23617Y10322D1*
+X23593Y10346D1*
+X23521Y10370D1*
+X23306D1*
+Y9868D1*
+X23473Y10131D2*
+X23641Y9868D1*
+X23843Y10370D2*
+X24106D1*
+X23963Y10178D1*
+X24034D1*
+X24082Y10155D1*
+X24106Y10131D1*
+X24130Y10059D1*
+Y10011D1*
+X24106Y9940D1*
+X24058Y9892D1*
+X23986Y9868D1*
+X23914D1*
+X23843Y9892D1*
+X23819Y9916D1*
+X23795Y9963D1*
+X23306Y10756D2*
+X23521D1*
+X23593Y10780D1*
+X23617Y10804D1*
+X23641Y10852D1*
+Y10900D1*
+X23617Y10947D1*
+X23593Y10972D1*
+X23521Y10995D1*
+X23306D1*
+Y10493D1*
+X23473Y10756D2*
+X23641Y10493D1*
+X24082Y10995D2*
+X23843D1*
+X23819Y10780D1*
+X23843Y10804D1*
+X23915Y10828D1*
+X23986D1*
+X24058Y10804D1*
+X24106Y10756D1*
+X24130Y10684D1*
+Y10637D1*
+X24106Y10565D1*
+X24058Y10517D1*
+X23986Y10493D1*
+X23915D1*
+X23843Y10517D1*
+X23819Y10541D1*
+X23795Y10589D1*
+X7381Y13513D2*
+Y13154D1*
+X7405Y13083D1*
+X7453Y13035D1*
+X7525Y13011D1*
+X7572D1*
+X7644Y13035D1*
+X7692Y13083D1*
+X7716Y13154D1*
+Y13513D1*
+X7895Y13393D2*
+Y13417D1*
+X7918Y13465D1*
+X7942Y13489D1*
+X7990Y13513D1*
+X8086D1*
+X8133Y13489D1*
+X8157Y13465D1*
+X8181Y13417D1*
+Y13369D1*
+X8157Y13321D1*
+X8110Y13250D1*
+X7870Y13011D1*
+X8205D1*
+X13367Y18830D2*
+Y18472D1*
+X13391Y18400D1*
+X13439Y18352D1*
+X13511Y18328D1*
+X13558D1*
+X13630Y18352D1*
+X13678Y18400D1*
+X13702Y18472D1*
+Y18830D1*
+X13905D2*
+X14167D1*
+X14024Y18639D1*
+X14096D1*
+X14143Y18615D1*
+X14167Y18591D1*
+X14191Y18519D1*
+Y18472D1*
+X14167Y18400D1*
+X14120Y18352D1*
+X14048Y18328D1*
+X13976D1*
+X13905Y18352D1*
+X13881Y18376D1*
+X13856Y18424D1*
+X26009Y17518D2*
+Y17159D1*
+X26032Y17087D1*
+X26080Y17040D1*
+X26152Y17015D1*
+X26200D1*
+X26272Y17040D1*
+X26320Y17087D1*
+X26343Y17159D1*
+Y17518D1*
+X26785Y17517D2*
+X26546D1*
+X26522Y17302D1*
+X26546Y17326D1*
+X26618Y17350D1*
+X26689D1*
+X26761Y17326D1*
+X26809Y17278D1*
+X26833Y17207D1*
+Y17159D1*
+X26809Y17087D1*
+X26761Y17039D1*
+X26689Y17015D1*
+X26618D1*
+X26546Y17039D1*
+X26522Y17063D1*
+X26498Y17111D1*
+X9974Y10390D2*
+Y10032D1*
+X9997Y9960D1*
+X10045Y9912D1*
+X10117Y9888D1*
+X10165D1*
+X10237Y9912D1*
+X10285Y9960D1*
+X10308Y10032D1*
+Y10390D1*
+X10750Y10318D2*
+X10726Y10366D1*
+X10654Y10390D1*
+X10607D1*
+X10535Y10366D1*
+X10487Y10294D1*
+X10463Y10175D1*
+Y10055D1*
+X10487Y9960D1*
+X10535Y9912D1*
+X10607Y9888D1*
+X10630D1*
+X10702Y9912D1*
+X10750Y9960D1*
+X10773Y10032D1*
+Y10055D1*
+X10750Y10127D1*
+X10702Y10175D1*
+X10630Y10199D1*
+X10607D1*
+X10535Y10175D1*
+X10487Y10127D1*
+X10463Y10055D1*
+X25412Y10506D2*
+Y10147D1*
+X25436Y10075D1*
+X25484Y10028D1*
+X25556Y10004D1*
+X25603D1*
+X25675Y10028D1*
+X25723Y10075D1*
+X25747Y10147D1*
+Y10506D1*
+X25997Y10004D2*
+X26236Y10505D1*
+X25901D1*
+X20997Y12558D2*
+Y12199D1*
+X21021Y12127D1*
+X21069Y12080D1*
+X21141Y12055D1*
+X21188D1*
+X21260Y12080D1*
+X21308Y12127D1*
+X21332Y12199D1*
+Y12558D1*
+X21606Y12557D2*
+X21534Y12533D1*
+X21510Y12486D1*
+Y12438D1*
+X21534Y12390D1*
+X21582Y12366D1*
+X21677Y12342D1*
+X21749Y12318D1*
+X21797Y12270D1*
+X21821Y12223D1*
+Y12151D1*
+X21797Y12103D1*
+X21773Y12079D1*
+X21701Y12055D1*
+X21606D1*
+X21534Y12079D1*
+X21510Y12103D1*
+X21486Y12151D1*
+Y12223D1*
+X21510Y12270D1*
+X21558Y12318D1*
+X21629Y12342D1*
+X21725Y12366D1*
+X21773Y12390D1*
+X21797Y12438D1*
+Y12486D1*
+X21773Y12533D1*
+X21701Y12557D1*
+X21606D1*
+X33260Y12495D2*
+Y12136D1*
+X33283Y12065D1*
+X33332Y12017D1*
+X33403Y11993D1*
+X33451D1*
+X33523Y12017D1*
+X33571Y12065D1*
+X33595Y12136D1*
+Y12495D1*
+X34060Y12328D2*
+X34036Y12256D1*
+X33988Y12208D1*
+X33917Y12184D1*
+X33893D1*
+X33821Y12208D1*
+X33773Y12256D1*
+X33749Y12328D1*
+Y12351D1*
+X33773Y12423D1*
+X33821Y12471D1*
+X33893Y12495D1*
+X33917D1*
+X33988Y12471D1*
+X34036Y12423D1*
+X34060Y12328D1*
+Y12208D1*
+X34036Y12088D1*
+X33988Y12017D1*
+X33917Y11993D1*
+X33869D1*
+X33797Y12017D1*
+X33773Y12065D1*
+X10776Y20396D2*
+D77*
+X10738Y20472D1*
+X10661Y20549D1*
+X10585Y20587D1*
+X10432D1*
+X10355Y20549D1*
+X10279Y20472D1*
+X10240Y20396D1*
+X10202Y20281D1*
+Y20089D1*
+X10240Y19975D1*
+X10279Y19898D1*
+X10355Y19822D1*
+X10432Y19783D1*
+X10585D1*
+X10661Y19822D1*
+X10738Y19898D1*
+X10776Y19975D1*
+X11253Y20587D2*
+X11176Y20549D1*
+X11100Y20472D1*
+X11061Y20396D1*
+X11023Y20281D1*
+Y20089D1*
+X11061Y19975D1*
+X11100Y19898D1*
+X11176Y19822D1*
+X11253Y19783D1*
+X11406D1*
+X11482Y19822D1*
+X11559Y19898D1*
+X11597Y19975D1*
+X11635Y20089D1*
+Y20281D1*
+X11597Y20396D1*
+X11559Y20472D1*
+X11482Y20549D1*
+X11406Y20587D1*
+X11253D1*
+X12112D2*
+X12035Y20549D1*
+X11959Y20472D1*
+X11920Y20396D1*
+X11882Y20281D1*
+Y20089D1*
+X11920Y19975D1*
+X11959Y19898D1*
+X12035Y19822D1*
+X12112Y19783D1*
+X12265D1*
+X12341Y19822D1*
+X12418Y19898D1*
+X12456Y19975D1*
+X12494Y20089D1*
+Y20281D1*
+X12456Y20396D1*
+X12418Y20472D1*
+X12341Y20549D1*
+X12265Y20587D1*
+X12112D1*
+X12741D2*
+Y19783D1*
+X13200D1*
+X14021Y20396D2*
+X13983Y20472D1*
+X13906Y20549D1*
+X13830Y20587D1*
+X13677D1*
+X13600Y20549D1*
+X13524Y20472D1*
+X13485Y20396D1*
+X13447Y20281D1*
+Y20089D1*
+X13485Y19975D1*
+X13524Y19898D1*
+X13600Y19822D1*
+X13677Y19783D1*
+X13830D1*
+X13906Y19822D1*
+X13983Y19898D1*
+X14021Y19975D1*
+Y20089D1*
+X13830D1*
+X14268Y20587D2*
+Y19783D1*
+X14515Y20204D2*
+X14859D1*
+X14974Y20243D1*
+X15013Y20281D1*
+X15051Y20357D1*
+Y20434D1*
+X15013Y20510D1*
+X14974Y20549D1*
+X14859Y20587D1*
+X14515D1*
+Y19783D1*
+X14783Y20204D2*
+X15051Y19783D1*
+X15298Y20587D2*
+Y19783D1*
+X15757D1*
+X17393D2*
+Y20587D1*
+X17087Y19783D1*
+X16782Y20587D1*
+Y19783D1*
+X17640Y20587D2*
+Y20013D1*
+X17679Y19898D1*
+X17755Y19822D1*
+X17870Y19783D1*
+X17946D1*
+X18061Y19822D1*
+X18138Y19898D1*
+X18176Y20013D1*
+Y20587D1*
+X18423D2*
+Y19783D1*
+X18882D1*
+X19397Y20587D2*
+Y19783D1*
+X19129Y20587D2*
+X19665D1*
+X19912D2*
+Y19783D1*
+X20733Y20396D2*
+X20695Y20472D1*
+X20618Y20549D1*
+X20542Y20587D1*
+X20389D1*
+X20312Y20549D1*
+X20236Y20472D1*
+X20197Y20396D1*
+X20159Y20281D1*
+Y20089D1*
+X20197Y19975D1*
+X20236Y19898D1*
+X20312Y19822D1*
+X20389Y19783D1*
+X20542D1*
+X20618Y19822D1*
+X20695Y19898D1*
+X20733Y19975D1*
+X21593Y19783D2*
+X21286Y20587D1*
+X20980Y19783D1*
+X21095Y20051D2*
+X21478D1*
+X21840Y20204D2*
+X22184D1*
+X22299Y20243D1*
+X22338Y20281D1*
+X22376Y20357D1*
+Y20434D1*
+X22338Y20510D1*
+X22299Y20549D1*
+X22184Y20587D1*
+X21840D1*
+Y19783D1*
+X22108Y20204D2*
+X22376Y19783D1*
+X22891Y20587D2*
+Y19783D1*
+X22623Y20587D2*
+X23159D1*
+X18454Y19256D2*
+Y18721D1*
+Y19027D2*
+X18493Y19142D1*
+X18569Y19218D1*
+X18646Y19256D1*
+X18761D1*
+X19008Y19027D2*
+X19467D1*
+Y19103D1*
+X19429Y19180D1*
+X19391Y19218D1*
+X19314Y19256D1*
+X19199D1*
+X19123Y19218D1*
+X19046Y19142D1*
+X19008Y19027D1*
+Y18951D1*
+X19046Y18836D1*
+X19123Y18759D1*
+X19199Y18721D1*
+X19314D1*
+X19391Y18759D1*
+X19467Y18836D1*
+X19714Y19256D2*
+X19944Y18721D1*
+X20173Y19256D1*
+X20458Y18798D2*
+X20420Y18759D1*
+X20458Y18721D1*
+X20497Y18759D1*
+X20458Y18798D1*
+X21561Y19333D2*
+Y19371D1*
+X21599Y19447D1*
+X21637Y19486D1*
+X21714Y19524D1*
+X21866D1*
+X21943Y19486D1*
+X21981Y19447D1*
+X22019Y19371D1*
+Y19295D1*
+X21981Y19218D1*
+X21905Y19103D1*
+X21522Y18721D1*
+X22058D1*
+X22343Y18798D2*
+X22305Y18759D1*
+X22343Y18721D1*
+X22381Y18759D1*
+X22343Y18798D1*
+X22628Y19371D2*
+X22705Y19409D1*
+X22820Y19524D1*
+Y18721D1*
+X9666Y6821D2*
+D78*
+Y7223D1*
+X9513Y6821D1*
+X9360Y7223D1*
+Y6821D1*
+X10095D2*
+X9942Y7223D1*
+X9789Y6821D1*
+X9847Y6955D2*
+X10038D1*
+X10219Y7223D2*
+Y6821D1*
+X10353D1*
+X10410Y6840D1*
+X10449Y6878D1*
+X10468Y6917D1*
+X10487Y6974D1*
+Y7070D1*
+X10468Y7127D1*
+X10449Y7165D1*
+X10410Y7204D1*
+X10353Y7223D1*
+X10219D1*
+X10859D2*
+X10610D1*
+Y6821D1*
+X10859D1*
+X10610Y7031D2*
+X10763D1*
+X11371Y7223D2*
+Y6821D1*
+X11763Y7223D2*
+Y6821D1*
+X11495Y7223D1*
+Y6821D1*
+X12275Y7031D2*
+X12447D1*
+X12505Y7051D1*
+X12524Y7070D1*
+X12543Y7108D1*
+Y7146D1*
+X12524Y7184D1*
+X12505Y7204D1*
+X12447Y7223D1*
+X12275D1*
+Y6821D1*
+X12409Y7031D2*
+X12543Y6821D1*
+X12666Y7223D2*
+Y6936D1*
+X12686Y6878D1*
+X12724Y6840D1*
+X12781Y6821D1*
+X12819D1*
+X12877Y6840D1*
+X12915Y6878D1*
+X12934Y6936D1*
+Y7223D1*
+X13326Y7165D2*
+X13288Y7204D1*
+X13230Y7223D1*
+X13154D1*
+X13096Y7204D1*
+X13058Y7165D1*
+Y7127D1*
+X13077Y7089D1*
+X13096Y7070D1*
+X13134Y7051D1*
+X13249Y7012D1*
+X13288Y6993D1*
+X13307Y6974D1*
+X13326Y6936D1*
+Y6878D1*
+X13288Y6840D1*
+X13230Y6821D1*
+X13154D1*
+X13096Y6840D1*
+X13058Y6878D1*
+X13717Y7165D2*
+X13679Y7204D1*
+X13622Y7223D1*
+X13545D1*
+X13488Y7204D1*
+X13449Y7165D1*
+Y7127D1*
+X13469Y7089D1*
+X13488Y7070D1*
+X13526Y7051D1*
+X13641Y7012D1*
+X13679Y6993D1*
+X13698Y6974D1*
+X13717Y6936D1*
+Y6878D1*
+X13679Y6840D1*
+X13622Y6821D1*
+X13545D1*
+X13488Y6840D1*
+X13449Y6878D1*
+X13841Y7223D2*
+Y6821D1*
+X14271D2*
+X14117Y7223D1*
+X13964Y6821D1*
+X14022Y6955D2*
+X14213D1*
+X22249Y8207D2*
+X22000D1*
+Y7805D1*
+Y8016D2*
+X22153D1*
+X22372D2*
+X22544D1*
+X22602Y8035D1*
+X22621Y8054D1*
+X22640Y8092D1*
+Y8131D1*
+X22621Y8169D1*
+X22602Y8188D1*
+X22544Y8207D1*
+X22372D1*
+Y7805D1*
+X22506Y8016D2*
+X22640Y7805D1*
+X22879Y8207D2*
+X22840Y8188D1*
+X22802Y8150D1*
+X22783Y8112D1*
+X22764Y8054D1*
+Y7958D1*
+X22783Y7901D1*
+X22802Y7863D1*
+X22840Y7825D1*
+X22879Y7805D1*
+X22955D1*
+X22993Y7825D1*
+X23032Y7863D1*
+X23051Y7901D1*
+X23070Y7958D1*
+Y8054D1*
+X23051Y8112D1*
+X23032Y8150D1*
+X22993Y8188D1*
+X22955Y8207D1*
+X22879D1*
+X23461D2*
+Y7805D1*
+X23193Y8207D1*
+Y7805D1*
+X23719Y8207D2*
+Y7805D1*
+X23585Y8207D2*
+X23853D1*
+X24633Y8150D2*
+X24595Y8188D1*
+X24538Y8207D1*
+X24461D1*
+X24404Y8188D1*
+X24365Y8150D1*
+Y8112D1*
+X24385Y8073D1*
+X24404Y8054D1*
+X24442Y8035D1*
+X24557Y7997D1*
+X24595Y7978D1*
+X24614Y7958D1*
+X24633Y7920D1*
+Y7863D1*
+X24595Y7825D1*
+X24538Y7805D1*
+X24461D1*
+X24404Y7825D1*
+X24365Y7863D1*
+X24757Y8207D2*
+Y7805D1*
+X24880Y8207D2*
+Y7805D1*
+X25014D1*
+X25072Y7825D1*
+X25110Y7863D1*
+X25129Y7901D1*
+X25148Y7958D1*
+Y8054D1*
+X25129Y8112D1*
+X25110Y8150D1*
+X25072Y8188D1*
+X25014Y8207D1*
+X24880D1*
+X25520D2*
+X25272D1*
+Y7805D1*
+X25520D1*
+X25272Y8016D2*
+X25425D1*
+X25905Y18984D2*
+X25867Y18965D1*
+X25829Y18927D1*
+X25810Y18889D1*
+Y18812D1*
+X25829Y18774D1*
+X25867Y18736D1*
+X25905Y18716D1*
+X25963Y18697D1*
+X26058D1*
+X26116Y18716D1*
+X26154Y18736D1*
+X26192Y18774D1*
+X26211Y18812D1*
+Y18889D1*
+X26192Y18927D1*
+X26154Y18965D1*
+X26116Y18984D1*
+X26058D1*
+Y18889D1*
+X25810Y19376D2*
+X26211D1*
+X25810Y19108D1*
+X26211D1*
+X25810Y19499D2*
+X26211D1*
+Y19633D1*
+X26192Y19691D1*
+X26154Y19729D1*
+X26116Y19748D1*
+X26058Y19767D1*
+X25963D1*
+X25905Y19748D1*
+X25867Y19729D1*
+X25829Y19691D1*
+X25810Y19633D1*
+Y19499D1*
+X29935Y18831D2*
+X30336D1*
+X29935Y18697D2*
+Y18965D1*
+Y19089D2*
+X30336D1*
+Y19223D1*
+X30317Y19280D1*
+X30279Y19319D1*
+X30241Y19338D1*
+X30183Y19357D1*
+X30088D1*
+X30030Y19338D1*
+X29992Y19319D1*
+X29954Y19280D1*
+X29935Y19223D1*
+Y19089D1*
+Y19595D2*
+X29954Y19557D1*
+X29992Y19519D1*
+X30030Y19499D1*
+X30088Y19480D1*
+X30183D1*
+X30241Y19499D1*
+X30279Y19519D1*
+X30317Y19557D1*
+X30336Y19595D1*
+Y19672D1*
+X30317Y19710D1*
+X30279Y19748D1*
+X30241Y19767D1*
+X30183Y19786D1*
+X30088D1*
+X30030Y19767D1*
+X29992Y19748D1*
+X29954Y19710D1*
+X29935Y19672D1*
+Y19595D1*
+X33521Y7127D2*
+X33502Y7165D1*
+X33464Y7204D1*
+X33426Y7223D1*
+X33349D1*
+X33311Y7204D1*
+X33273Y7165D1*
+X33254Y7127D1*
+X33235Y7070D1*
+Y6974D1*
+X33254Y6917D1*
+X33273Y6878D1*
+X33311Y6840D1*
+X33349Y6821D1*
+X33426D1*
+X33464Y6840D1*
+X33502Y6878D1*
+X33521Y6917D1*
+X33645Y7223D2*
+Y6821D1*
+X33874D1*
+X33998Y7223D2*
+Y6936D1*
+X34017Y6878D1*
+X34055Y6840D1*
+X34113Y6821D1*
+X34151D1*
+X34208Y6840D1*
+X34247Y6878D1*
+X34266Y6936D1*
+Y7223D1*
+X34657Y7165D2*
+X34619Y7204D1*
+X34562Y7223D1*
+X34485D1*
+X34428Y7204D1*
+X34389Y7165D1*
+Y7127D1*
+X34409Y7089D1*
+X34428Y7070D1*
+X34466Y7051D1*
+X34581Y7012D1*
+X34619Y6993D1*
+X34638Y6974D1*
+X34657Y6936D1*
+Y6878D1*
+X34619Y6840D1*
+X34562Y6821D1*
+X34485D1*
+X34428Y6840D1*
+X34389Y6878D1*
+X34915Y7223D2*
+Y6821D1*
+X34781Y7223D2*
+X35049D1*
+X35421D2*
+X35172D1*
+Y6821D1*
+X35421D1*
+X35172Y7031D2*
+X35325D1*
+X35544D2*
+X35716D1*
+X35774Y7051D1*
+X35793Y7070D1*
+X35812Y7108D1*
+Y7146D1*
+X35793Y7184D1*
+X35774Y7204D1*
+X35716Y7223D1*
+X35544D1*
+Y6821D1*
+X35678Y7031D2*
+X35812Y6821D1*
+X35936Y7031D2*
+X36108D1*
+X36165Y7051D1*
+X36185Y7070D1*
+X36204Y7108D1*
+Y7146D1*
+X36185Y7184D1*
+X36165Y7204D1*
+X36108Y7223D1*
+X35936D1*
+Y6821D1*
+X36070Y7031D2*
+X36204Y6821D1*
+X36327Y7031D2*
+X36499D1*
+X36557Y7051D1*
+X36576Y7070D1*
+X36595Y7108D1*
+Y7146D1*
+X36576Y7184D1*
+X36557Y7204D1*
+X36499Y7223D1*
+X36327D1*
+Y6821D1*
+X36461Y7031D2*
+X36595Y6821D1*
+X36738Y6859D2*
+X36719Y6840D1*
+X36738Y6821D1*
+X36757Y6840D1*
+X36738Y6859D1*
+X37168Y7127D2*
+X37149Y7165D1*
+X37110Y7204D1*
+X37072Y7223D1*
+X36996D1*
+X36957Y7204D1*
+X36919Y7165D1*
+X36900Y7127D1*
+X36881Y7070D1*
+Y6974D1*
+X36900Y6917D1*
+X36919Y6878D1*
+X36957Y6840D1*
+X36996Y6821D1*
+X37072D1*
+X37110Y6840D1*
+X37149Y6878D1*
+X37168Y6917D1*
+X37406Y7223D2*
+X37368Y7204D1*
+X37330Y7165D1*
+X37310Y7127D1*
+X37291Y7070D1*
+Y6974D1*
+X37310Y6917D1*
+X37330Y6878D1*
+X37368Y6840D1*
+X37406Y6821D1*
+X37483D1*
+X37521Y6840D1*
+X37559Y6878D1*
+X37578Y6917D1*
+X37597Y6974D1*
+Y7070D1*
+X37578Y7127D1*
+X37559Y7165D1*
+X37521Y7204D1*
+X37483Y7223D1*
+X37406D1*
+X38027Y6821D2*
+Y7223D1*
+X37874Y6821D1*
+X37721Y7223D1*
+Y6821D1*
+X37019Y10917D2*
+X37000Y10937D1*
+X37019Y10956D1*
+X37038Y10937D1*
+Y10898D1*
+X37019Y10860D1*
+X37000Y10841D1*
+X37096Y10917D2*
+X37076Y10937D1*
+X37096Y10956D1*
+X37115Y10937D1*
+Y10898D1*
+X37096Y10860D1*
+X37076Y10841D1*
+X37525Y10861D2*
+X37506Y10899D1*
+X37468Y10937D1*
+X37430Y10956D1*
+X37353D1*
+X37315Y10937D1*
+X37277Y10899D1*
+X37257Y10861D1*
+X37238Y10803D1*
+Y10707D1*
+X37257Y10650D1*
+X37277Y10612D1*
+X37315Y10574D1*
+X37353Y10554D1*
+X37430D1*
+X37468Y10574D1*
+X37506Y10612D1*
+X37525Y10650D1*
+X37649Y10956D2*
+Y10554D1*
+X37878D1*
+X38002Y10956D2*
+Y10669D1*
+X38021Y10612D1*
+X38059Y10574D1*
+X38117Y10554D1*
+X38155D1*
+X38212Y10574D1*
+X38251Y10612D1*
+X38270Y10669D1*
+Y10956D1*
+X38661Y10899D2*
+X38623Y10937D1*
+X38566Y10956D1*
+X38489D1*
+X38432Y10937D1*
+X38393Y10899D1*
+Y10861D1*
+X38413Y10822D1*
+X38432Y10803D1*
+X38470Y10784D1*
+X38585Y10746D1*
+X38623Y10727D1*
+X38642Y10707D1*
+X38661Y10669D1*
+Y10612D1*
+X38623Y10574D1*
+X38566Y10554D1*
+X38489D1*
+X38432Y10574D1*
+X38393Y10612D1*
+X38919Y10956D2*
+Y10554D1*
+X38785Y10956D2*
+X39053D1*
+X39425D2*
+X39176D1*
+Y10554D1*
+X39425D1*
+X39176Y10765D2*
+X39329D1*
+X39548D2*
+X39720D1*
+X39778Y10784D1*
+X39797Y10803D1*
+X39816Y10841D1*
+Y10880D1*
+X39797Y10918D1*
+X39778Y10937D1*
+X39720Y10956D1*
+X39548D1*
+Y10554D1*
+X39682Y10765D2*
+X39816Y10554D1*
+X39959Y10917D2*
+X39940Y10937D1*
+X39959Y10956D1*
+X39978Y10937D1*
+Y10898D1*
+X39959Y10860D1*
+X39940Y10841D1*
+X40036Y10917D2*
+X40016Y10937D1*
+X40036Y10956D1*
+X40055Y10937D1*
+Y10898D1*
+X40036Y10860D1*
+X40016Y10841D1*
+X37681Y9867D2*
+X37528Y10269D1*
+X37375Y9867D1*
+X37432Y10001D2*
+X37624D1*
+X37805Y10269D2*
+X37958Y9867D1*
+X38111Y10269D1*
+X38234D2*
+Y9867D1*
+X38368D1*
+X38426Y9886D1*
+X38464Y9924D1*
+X38483Y9963D1*
+X38502Y10020D1*
+Y10116D1*
+X38483Y10173D1*
+X38464Y10211D1*
+X38426Y10250D1*
+X38368Y10269D1*
+X38234D1*
+X38626D2*
+X38779Y10077D1*
+Y9867D1*
+X38932Y10269D2*
+X38779Y10077D1*
+X39055Y10269D2*
+Y9982D1*
+X39074Y9924D1*
+X39113Y9886D1*
+X39170Y9867D1*
+X39208D1*
+X39266Y9886D1*
+X39304Y9924D1*
+X39323Y9982D1*
+Y10269D1*
+X39447D2*
+Y9867D1*
+X39715Y10269D2*
+X39447Y10001D1*
+X39542Y10097D2*
+X39715Y9867D1*
+X39838Y10269D2*
+Y9867D1*
+X40106Y10269D2*
+Y9867D1*
+X39838Y10077D2*
+X40106D1*
+X40230Y10269D2*
+Y9867D1*
+X40621Y10269D2*
+Y9867D1*
+X40353Y10269D1*
+Y9867D1*
+X36125Y11644D2*
+Y11242D1*
+X36297D1*
+X36355Y11261D1*
+X36374Y11280D1*
+X36393Y11318D1*
+Y11376D1*
+X36374Y11414D1*
+X36355Y11433D1*
+X36297Y11452D1*
+X36355Y11472D1*
+X36374Y11491D1*
+X36393Y11529D1*
+Y11567D1*
+X36374Y11605D1*
+X36355Y11625D1*
+X36297Y11644D1*
+X36125D1*
+Y11452D2*
+X36297D1*
+X36516Y11644D2*
+X36669Y11452D1*
+Y11242D1*
+X36822Y11644D2*
+X36669Y11452D1*
+X37641Y11242D2*
+X37488Y11644D1*
+X37335Y11242D1*
+X37392Y11376D2*
+X37584D1*
+X37765Y11644D2*
+Y11242D1*
+X37994D1*
+X38366Y11644D2*
+X38118D1*
+Y11242D1*
+X38366D1*
+X38118Y11452D2*
+X38270D1*
+X38490Y11644D2*
+X38757Y11242D1*
+Y11644D2*
+X38490Y11242D1*
+X39129Y11644D2*
+X38881D1*
+Y11242D1*
+X39129D1*
+X38881Y11452D2*
+X39034D1*
+X39253Y11644D2*
+X39406Y11452D1*
+Y11242D1*
+X39559Y11644D2*
+X39406Y11452D1*
+X26810Y18831D2*
+X27212D1*
+X26810Y18697D2*
+Y18965D1*
+X27212Y19395D2*
+X26810D1*
+X27212Y19242D1*
+X26810Y19089D1*
+X27212D1*
+X26867Y19786D2*
+X26829Y19748D1*
+X26810Y19691D1*
+Y19614D1*
+X26829Y19557D1*
+X26867Y19518D1*
+X26905D1*
+X26944Y19538D1*
+X26963Y19557D1*
+X26982Y19595D1*
+X27020Y19710D1*
+X27039Y19748D1*
+X27059Y19767D1*
+X27097Y19786D1*
+X27154D1*
+X27192Y19748D1*
+X27212Y19691D1*
+Y19614D1*
+X27192Y19557D1*
+X27154Y19518D1*
+X27810Y18831D2*
+X28212D1*
+X27810Y18697D2*
+Y18965D1*
+Y19089D2*
+X28212D1*
+Y19223D1*
+X28192Y19280D1*
+X28154Y19319D1*
+X28116Y19338D1*
+X28059Y19357D1*
+X27963D1*
+X27905Y19338D1*
+X27867Y19319D1*
+X27829Y19280D1*
+X27810Y19223D1*
+Y19089D1*
+Y19480D2*
+X28212D1*
+X28747Y18831D2*
+X29149D1*
+X28747Y18697D2*
+Y18965D1*
+X28843Y19376D2*
+X28805Y19357D1*
+X28766Y19318D1*
+X28747Y19280D1*
+Y19204D1*
+X28766Y19165D1*
+X28805Y19127D1*
+X28843Y19108D1*
+X28900Y19089D1*
+X28996D1*
+X29053Y19108D1*
+X29092Y19127D1*
+X29130Y19165D1*
+X29149Y19204D1*
+Y19280D1*
+X29130Y19318D1*
+X29092Y19357D1*
+X29053Y19376D1*
+X28747Y19499D2*
+X29149D1*
+X28747Y19767D2*
+X29015Y19499D1*
+X28919Y19595D2*
+X29149Y19767D1*
+M02*
diff --git a/mappers.vh b/mappers.vh
index 4309064..6906e9c 100644
--- a/mappers.vh
+++ b/mappers.vh
@@ -1,1089 +1,1115 @@
- reg [26:14] cpu_base = 0;
- reg [20:14] prg_mask = 7'b1111000;
- reg [17:13] chr_mask = 0;
- reg [2:0] prg_mode = 0;
- reg map_rom_on_6000 = 0;
- reg [7:0] prg_bank_6000 = 0;
- reg [7:0] prg_bank_a = 0;
- reg [7:0] prg_bank_b = 1;
- reg [7:0] prg_bank_c = 8'b11111110;
- reg [7:0] prg_bank_d = 8'b11111111;
- reg [2:0] chr_mode = 0;
- reg [7:0] chr_bank_a = 0;
- reg [7:0] chr_bank_b = 1;
- reg [7:0] chr_bank_c = 2;
- reg [7:0] chr_bank_d = 3;
- reg [7:0] chr_bank_e = 4;
- reg [7:0] chr_bank_f = 5;
- reg [7:0] chr_bank_g = 6;
- reg [7:0] chr_bank_h = 7;
- reg [4:0] mapper = 0;
- reg [2:0] flags = 0;
- reg sram_enabled = 0;
- reg [1:0] sram_page = 0;
- reg chr_write_enabled = 0;
- reg prg_write_enabled = 0;
- reg [1:0] mirroring = 0;
- reg four_screen = 0;
- reg lockout = 0;
-
- // some common registers for all mappers
- reg [7:0] r0 = 0;
- reg [7:0] r1 = 0;
- reg [7:0] r2 = 0;
- reg [7:0] r3 = 0;
- reg [7:0] r4 = 0;
- reg [7:0] r5 = 0;
-
- /*
- reg [7:0] mul1;
- reg [7:0] mul2;
- wire [15:0] mul = mul1*mul2;
- */
-
- // for scanline-based interrupts
- reg [7:0] irq_scanline_counter = 0;
- reg [1:0] a12_low_time = 0;
- reg irq_scanline_reload = 0;
- reg [7:0] irq_scanline_latch = 0;
- reg irq_scanline_reload_clear = 0;
- reg irq_scanline_enabled = 0;
- reg irq_scanline_value = 0;
- reg irq_scanline_ready = 0;
- reg irq_scanline_out = 0;
- // for MMC5
- reg irq_scanline2_enabled = 0;
- reg [7:0] irq_scanline2_line = 0;
- reg irq_scanline2_out = 0;
- reg irq_scanline2_clear = 0;
- // current scanline counter
- reg [7:0] scanline = 0;
- reg [3:0] ppu_rd_hi_time = 0;
- reg new_screen = 0;
- reg new_screen_clear = 0;
- reg [1:0] ppu_nt_read_count;
-
- // for CPU-based interrupts
- reg [15:0] irq_cpu_value = 0;
- reg irq_cpu_out = 0;
- reg [3:0] irq_cpu_control = 0;
- reg [15:0] irq_cpu_latch = 0;
- reg [6:0] vrc4_irq_prescaler = 0;
- reg [1:0] vrc4_irq_prescaler_counter = 0;
-
- // for MMC2/MMC4
- reg ppu_latch0 = 0;
- reg ppu_latch1 = 0;
- reg ppu_mapper_163_latch = 0;
-
- reg writed;
-
- wire cpu_data_out_enabled;
- wire [7:0] cpu_data_out;
- assign {cpu_data_out_enabled, cpu_data_out} =
- (m2 & romsel & cpu_rw_in) ?
- (
- ((mapper == 0) && (cpu_addr_in[14:12] == 3'b101)) ? {8'b10000000, new_dendy} :
- (USE_MAPPER_163 && (mapper == 5'b00110) && ({cpu_addr_in[14:12],cpu_addr_in[10:8]} == 6'b101001)) ?
- {1'b1, r2 | r0 | r1 | ~r3} :
- (USE_MAPPER_163 && (mapper == 5'b00110) && ({cpu_addr_in[14:12],cpu_addr_in[10:8]} == 6'b101101)) ?
- {1'b1, r5[0] ? r2 : r1} :
- (USE_MAPPER_005 && (mapper == 5'b01111) && (cpu_addr_in[14:0] == 15'h5204)) ?
- {1'b1, irq_scanline2_out, ~new_screen, 6'b000000} :
- //(USE_MAPPER_090_MUL && (mapper == 5'b01101) && (cpu_addr_in[14:0] == 15'h5800)) ? {1'b1, mul[7:0]} :
- //(USE_MAPPER_090_MUL && (mapper == 5'b01101) && (cpu_addr_in[14:0] == 15'h5801)) ? {1'b1, mul[15:8]} :
- 9'b000000000
- ): 9'b000000000;
-
- assign ppu_ciram_a10 = (USE_MAPPER_118 & (mapper == 5'b10100) & flags[0]) ? ppu_addr_mapped[17] :
- (mirroring[1] ? mirroring[0] : (mirroring[0] ? ppu_addr_in[11] : ppu_addr_in[10])); // vertical / horizontal, 1Sa, 1Sb
-
- wire [20:13] cpu_addr_mapped = (map_rom_on_6000 & romsel & m2) ? prg_bank_6000 :
- (
- prg_mode[2] ? (
- prg_mode[1] ? (
- prg_mode[0] ? (
- // 111 - 0x8000(A)
- {prg_bank_a[7:2], cpu_addr_in[14:13]}
- ) : (
- // 110 - 0x8000(B)
- {prg_bank_b[7:2], cpu_addr_in[14:13]}
- )
- ) : ( // prg_mode[1]
- prg_mode[0] ? (
- // 101 - 0x2000(C)+0x2000(B)+0x2000(A)+0x2000(D)
- cpu_addr_in[14] ? (cpu_addr_in[13] ? prg_bank_d : prg_bank_a) : (cpu_addr_in[13] ? prg_bank_b : prg_bank_c)
- ) : ( // prg_mode[0]
- // 100 - 0x2000(A)+0x2000(B)+0x2000(C)+0x2000(D)
- cpu_addr_in[14] ? (cpu_addr_in[13] ? prg_bank_d : prg_bank_c) : (cpu_addr_in[13] ? prg_bank_b : prg_bank_a)
- )
- )
- ) : ( // prg_mode[2]
- prg_mode[0] ? (
- // 0x1 - 0x4000(C) + 0x4000 (A)
- {cpu_addr_in[14] ? prg_bank_a[7:1] : prg_bank_c[7:1], cpu_addr_in[13]}
- ) : ( // prg_mode[0]
- // 0x0 - 0x4000(A) + 0x4000 (С)
- {cpu_addr_in[14] ? prg_bank_c[7:1] : prg_bank_a[7:1], cpu_addr_in[13]}
- )
- )
- );
-
- wire [17:10] ppu_addr_mapped = chr_mode[2] ? (
- chr_mode[1] ? (
- chr_mode[0] ? (
- // 111 - 0x400(A)+0x400(B)+0x400(C)+0x400(D)+0x400(E)+0x400(F)+0x400(G)+0x400(H)
- ppu_addr_in[12] ?
- (ppu_addr_in[11] ? (ppu_addr_in[10] ? chr_bank_h : chr_bank_g) :
- (ppu_addr_in[10] ? chr_bank_f : chr_bank_e)) : (ppu_addr_in[11] ? (ppu_addr_in[10] ? chr_bank_d : chr_bank_c) : (ppu_addr_in[10] ? chr_bank_b : chr_bank_a))
- ) : ( // chr_mode[0]
- // 110 - 0x800(A)+0x800(C)+0x800(E)+0x800(G)
- {ppu_addr_in[12] ?
- (ppu_addr_in[11] ? chr_bank_g[7:1] : chr_bank_e[7:1]) :
- (ppu_addr_in[11] ? chr_bank_c[7:1] : chr_bank_a[7:1]), ppu_addr_in[10]}
- )
- ) : ( // chr_mode[1]
- // 100 - 0x1000(A) + 0x1000(E)
- // 101 - 0x1000(A/B) + 0x1000(E/F) - MMC2 и MMC4
- {ppu_addr_in[12] ?
- (((USE_MAPPER_009_010) && chr_mode[0] && ppu_latch1) ? chr_bank_f[7:2] : chr_bank_e[7:2]) :
- (((USE_MAPPER_009_010) && chr_mode[0] && ppu_latch0) ? chr_bank_b[7:2] : chr_bank_a[7:2]),
- ppu_addr_in[11:10]}
- )
- ) : ( // chr_mode[2]
- chr_mode[1] ? (
- // 010 - 0x800(A)+0x800(C)+0x400(E)+0x400(F)+0x400(G)+0x400(H)
- // 011 - 0x400(E)+0x400(F)+0x400(G)+0x400(H)+0x800(A)+0x800(С)
- (ppu_addr_in[12]^chr_mode[0]) ?
- (ppu_addr_in[11] ?
- (ppu_addr_in[10] ? chr_bank_h : chr_bank_g) :
- (ppu_addr_in[10] ? chr_bank_f : chr_bank_e)
- ) : (
- ppu_addr_in[11] ? {chr_bank_c[7:1],ppu_addr_in[10]} : {chr_bank_a[7:1],ppu_addr_in[10]}
- )
- ) : ( // chr_mode[1]
- (USE_MAPPER_163 && chr_mode[0]) ? (
- // 001 - Mapper #163 special
- {ppu_mapper_163_latch, ppu_addr_in[11:10]}
- ) : (
- // 000 - 0x2000(A)
- {chr_bank_a[7:3], ppu_addr_in[12:10]}
- )
- )
- );
-
- assign irq = (irq_scanline_out | irq_scanline2_out | irq_cpu_out) ? 1'b0 : 1'bZ;
-
- // for VRC
- wire vrc_2b_hi = cpu_addr_in[1] | cpu_addr_in[3] | cpu_addr_in[5] | cpu_addr_in[7];
- wire vrc_2b_low = cpu_addr_in[0] | cpu_addr_in[2] | cpu_addr_in[4] | cpu_addr_in[6];
-
- always @ (negedge m2)
- begin
- // IRQ for VRC4
- if (USE_MAPPER_021_022_023_025 & USE_VRC4_INTERRUPTS & (mapper == 5'b11000) & (irq_cpu_control[1]))
- begin
- // Cycle mode without prescaler is not used by any games? It's missed in fceux source code.
- if (irq_cpu_control[2]) // cycle mode
- begin
- irq_cpu_value[7:0] = irq_cpu_value[7:0] + 1'b1; // just count IRQ value
- if (irq_cpu_value[7:0] == 0)
- begin
- irq_cpu_out = 1;
- irq_cpu_value[7:0] = irq_cpu_latch[7:0];
- end
- end else begin // scanline mode
- vrc4_irq_prescaler = vrc4_irq_prescaler + 1'b1; // count prescaler
- if ((vrc4_irq_prescaler_counter[1] == 0 && vrc4_irq_prescaler == 114) || (vrc4_irq_prescaler_counter[1] == 1 && vrc4_irq_prescaler == 113)) // 114, 114, 113
- begin
- irq_cpu_value[7:0] = irq_cpu_value[7:0] + 1'b1;
- vrc4_irq_prescaler = 0;
- vrc4_irq_prescaler_counter = vrc4_irq_prescaler_counter + 1'b1;
- if (vrc4_irq_prescaler_counter == 2'b11) vrc4_irq_prescaler_counter = 2'b00;
- if (irq_cpu_value[7:0] == 0)
- begin
- irq_cpu_out = 1;
- irq_cpu_value[7:0] = irq_cpu_latch[7:0];
- end
- end
- end
- end
-
- // IRQ for VRC3
- if (USE_MAPPER_073 & (mapper == 5'b10011) & (irq_cpu_control[1]))
- begin
- if (irq_cpu_control[2])
- begin // 8-bit mode
- irq_cpu_value[7:0] = irq_cpu_value[7:0] + 1'b1;
- if (irq_cpu_value[7:0] == 0)
- begin
- irq_cpu_out = 1;
- irq_cpu_value[7:0] = irq_cpu_latch[7:0];
- end
- end else begin // 16-bit mode
- irq_cpu_value[15:0] = irq_cpu_value[15:0] + 1'b1;
- if (irq_cpu_value[15:0] == 0)
- begin
- irq_cpu_out = 1;
- irq_cpu_value[15:0] = irq_cpu_latch[15:0];
- end
- end
- end
-
- // IRQ for Sunsoft FME-7
- if (USE_MAPPER_069 & (mapper == 5'b11001) & (irq_cpu_control[1]))
- begin
- if ((irq_cpu_value[15:0] == 0) & irq_cpu_control[0]) irq_cpu_out = 1;
- irq_cpu_value[15:0] = irq_cpu_value[15:0] - 1'b1;
- end
-
- // Mapper #18 - Sunsoft-2
- if (USE_MAPPER_018 && mapper == 5'b00111)
- begin
- if (irq_cpu_control[0])
- begin
- if (irq_cpu_control[3])
- begin
- if (irq_cpu_value[3:0] == 0) irq_cpu_out = 1;
- irq_cpu_value[3:0] = irq_cpu_value[3:0] - 1'b1;
- end else if (irq_cpu_control[2]) begin
- if (irq_cpu_value[7:0] == 0) irq_cpu_out = 1;
- irq_cpu_value[7:0] = irq_cpu_value[7:0] - 1'b1;
- end else if (irq_cpu_control[1]) begin
- if (irq_cpu_value[11:0] == 0) irq_cpu_out = 1;
- irq_cpu_value[11:0] = irq_cpu_value[11:0] - 1'b1;
- end else begin
- if (irq_cpu_value[15:0] == 0) irq_cpu_out = 1;
- irq_cpu_value[15:0] = irq_cpu_value[15:0] - 1'b1;
- end
- end
- end
-
- // Mapper #65 - Irem's H3001
- if (USE_MAPPER_065 && mapper == 5'b01110)
- begin
- if (irq_cpu_control[0])
- begin
- if (irq_cpu_value[15:0] > 0)
- begin
- irq_cpu_value[15:0] = irq_cpu_value[15:0] - 1'b1;
- if (irq_cpu_value[15:0] == 0) irq_cpu_out = 1;
- end
- end
- end
-
- if (cpu_rw_in == 1) // read
- begin
- writed = 0;
- // block two writes in a row (RMW) for games like Snow Bros. and Bill & Ted's Excellent Adventure
- // also you can remove this check and just patch those games, lol
- end else if (cpu_rw_in == 0 && !writed) // write
- begin
- writed = 1;
- if (romsel) // $0000-$7FFF
- begin
- if ((cpu_addr_in[14:12] == 3'b101) && (lockout == 0)) // $5000-5FFF & lockout is off
- begin
- case (cpu_addr_in[2:0])
- 3'b000: // $5xx0
- {cpu_base[26:22]} = cpu_data_in[4:0]; // CPU base address A26-A22
- 3'b001: // $5xx1
- cpu_base[21:14] = cpu_data_in[7:0]; // CPU base address A21-A14
- 3'b010: // $5xx2
- prg_mask[20:14] = cpu_data_in[6:0]; // CPU mask A18-A14
- 3'b011: // $5xx3
- {prg_mode[2:0], chr_bank_a[7:3]} = cpu_data_in[7:0]; // PRG mode, direct chr_bank_a access
- 3'b100: // $5xx4
- {chr_mode[2:0], chr_mask[17:13]} = cpu_data_in[7:0]; // CHR mode, CHR mask A17-A13
- 3'b101: // $5xx5
- {prg_bank_a[5:1], sram_page[1:0]} = cpu_data_in[6:0]; // direct prg_bank_a access, current SRAM page 0-3
- 3'b110: // $5xx6
- {flags[2:0], mapper[4:0]} = cpu_data_in[7:0]; // some flags, mapper
- 3'b111: // $5xx7
- // some other parameters
- {lockout, four_screen, mirroring[1:0], prg_write_enabled, chr_write_enabled, sram_enabled} = {cpu_data_in[7], cpu_data_in[5:0]};
- endcase
-
- if (USE_MAPPER_009_010 && mapper == 5'b10001) prg_bank_b = 8'b11111101;
- if (USE_MAPPER_065 && mapper == 5'b01110) prg_bank_b = 1;
- end
-
- // Mapper #163
- if (USE_MAPPER_163 && mapper == 5'b00110)
- begin
- if (cpu_addr_in[14:0] == 15'h5101)
- begin
- if ((r4 != 0) && (cpu_data_in == 0))
- r5[0] = ~r5[0];
- r4 = cpu_data_in;
- end else if ((cpu_addr_in[14:0] == 15'h5100) && (cpu_data_in == 6))
- begin
- prg_mode[0] = 0;
- prg_bank_b = 4'b1100;
- end else if (cpu_addr_in[14:12] == 3'b101) begin
- case (cpu_addr_in[9:8])
- 2'b10: begin
- prg_mode[0] = 1;
- prg_bank_a[7:6] = cpu_data_in[1:0];
- r0 = cpu_data_in;
- end
- 2'b00: begin
- prg_mode[0] = 1;
- prg_bank_a[5:2] = cpu_data_in[3:0];
- chr_mode[0] = cpu_data_in[7];
- r1 = cpu_data_in;
- end
- 2'b11: r2 = cpu_data_in;
- 2'b01: r3 = cpu_data_in;
- endcase
- end
- end
-
- // Mapper #87
- if (USE_MAPPER_087 && mapper == 5'b01100)
- begin
- if (cpu_addr_in[14] & cpu_addr_in[13]) // $6000-$7FFF
- begin
- chr_bank_a[4:3] = {cpu_data_in[0], cpu_data_in[1]};
- end
- end
-
- // Mapper #90 - JY
- /*
- if (USE_MAPPER_090_MUL && mapper == 5'b01101)
- begin
- if (cpu_addr_in[14:0] == 15'h5800)
- mul1 = cpu_data_in;
- if (cpu_addr_in[14:0] == 15'h5801)
- mul2 = cpu_data_in;
- end
- */
-
- // Mapper #189
- // It's MMC3 with flag1
- if (USE_MAPPER_189 & flags[1] & (mapper == 5'b10100))
- begin
- if (cpu_addr_in[14:0] >= 15'h4120) // $4120-$7FFF
- begin
- prg_bank_a[5:2] = cpu_data_in[3:0] | cpu_data_in[7:4];
- end
- end
-
- // MMC5
- if (USE_MAPPER_005 && mapper == 5'b01111)
- begin
- // just workaround for Castlevania 3, not real MMC5
- if (cpu_addr_in[14:0] == 15'h5105) // mirroring
- begin
- if (cpu_data_in == 8'b11111111)
- four_screen = 1;
- else begin
- four_screen = 0;
- case ({cpu_data_in[4], cpu_data_in[2]})
- 2'b00: mirroring = 2'b10;
- 2'b01: mirroring = 2'b00;
- 2'b10: mirroring = 2'b01;
- 2'b11: mirroring = 2'b11;
- endcase
- end
- end
- if (cpu_addr_in[14:0] == 15'h5115)
- begin
- prg_bank_a[4:0] = {cpu_data_in[4:1], 1'b0};
- prg_bank_b[4:0] = {cpu_data_in[4:1], 1'b1};
- end
- if (cpu_addr_in[14:0] == 15'h5116)
- prg_bank_c[4:0] = cpu_data_in[4:0];
- if (cpu_addr_in[14:0] == 15'h5117)
- prg_bank_d[4:0] = cpu_data_in[4:0];
- if (cpu_addr_in[14:0] == 15'h5120)
- chr_bank_a = cpu_data_in;
- if (cpu_addr_in[14:0] == 15'h5121)
- chr_bank_b = cpu_data_in;
- if (cpu_addr_in[14:0] == 15'h5122)
- chr_bank_c = cpu_data_in;
- if (cpu_addr_in[14:0] == 15'h5123)
- chr_bank_d = cpu_data_in;
- if (cpu_addr_in[14:0] == 15'h5128)
- chr_bank_e = cpu_data_in;
- if (cpu_addr_in[14:0] == 15'h5129)
- chr_bank_f = cpu_data_in;
- if (cpu_addr_in[14:0] == 15'h512A)
- chr_bank_g = cpu_data_in;
- if (cpu_addr_in[14:0] == 15'h512B)
- chr_bank_h = cpu_data_in;
- if (cpu_addr_in[14:0] == 15'h5203)
- begin
- irq_scanline2_line = cpu_data_in;
- irq_scanline2_clear = 1;
- end
- if (cpu_addr_in[14:0] == 15'h5204)
- begin
- irq_scanline2_enabled = cpu_data_in[7];
- //irq_scanline2_clear = 1;
- end
- end
-
- // temp/test
- /*
- if (mapper == 5'b11111)
- begin
- if (cpu_addr_in[14:0] == 15'h4025)
- begin
- mirroring = {1'b0, cpu_data_in[3]};
- end
- end
- */
- end else begin // $8000-$FFFF
- // temp/test
- /*
- if (mapper == 5'b11111)
- begin
- prg_bank_6000 = cpu_data_in[4:1] + 4;
- map_rom_on_6000 = 1;
- end
- */
-
- // Mapper #2 - UxROM
- // flag0 - mapper #71 - for Fire Hawk only.
- // other mapper-#71 games are UxROM
- if (mapper == 5'b00001)
- begin
- if (!USE_MAPPER_071 | ~flags[0] | (cpu_addr_in[14:12] != 3'b001))
- begin
- prg_bank_a[5:1] = cpu_data_in[4:0];
- end else begin // CodeMasters, blah. Mirroring control used only by Fire Hawk
- mirroring[1:0] = {1'b1, cpu_data_in[4]};
- end
- end
-
- // Mapper #3 - CNROM
- if (mapper == 5'b00010)
- begin
- chr_bank_a[7:3] = cpu_data_in[4:0];
- end
-
- // Mapper #78 - Holy Diver
- if (USE_MAPPER_078 && mapper == 5'b00011)
- begin
- prg_bank_a[3:1] = cpu_data_in[2:0];
- chr_bank_a[6:3] = cpu_data_in[7:4];
- mirroring = {1'b0, ~cpu_data_in[3]};
- end
-
- // Mapper #97 - Irem's TAM-S1
- if (USE_MAPPER_097 && mapper == 5'b00100)
- begin
- prg_bank_a[4:1] = cpu_data_in[3:0];
- mirroring = cpu_data_in[7:6] ^ {~cpu_data_in[6], 1'b0};
- end
-
- // Mapper #93 - Sunsoft-2
- if (USE_MAPPER_093 && mapper == 5'b00101)
- begin
- prg_bank_a[3:1] = {cpu_data_in[6:4]};
- chr_write_enabled = cpu_data_in[0];
- end
-
- // Mapper #18 - Sunsoft-2
- if (USE_MAPPER_018 && mapper == 5'b00111)
- begin
- case ({cpu_addr_in[14:12], cpu_addr_in[1:0]})
- 5'b00000: prg_bank_a[3:0] = cpu_data_in[3:0]; // $8000
- 5'b00001: prg_bank_a[7:4] = cpu_data_in[3:0]; // $8001
- 5'b00010: prg_bank_b[3:0] = cpu_data_in[3:0]; // $8002
- 5'b00011: prg_bank_b[7:4] = cpu_data_in[3:0]; // $8003
- 5'b00100: prg_bank_c[3:0] = cpu_data_in[3:0]; // $9000
- 5'b00101: prg_bank_c[7:4] = cpu_data_in[3:0]; // $9001
- 5'b00110: ; // $9002
- 5'b00111: ; // $9003
- 5'b01000: chr_bank_a[3:0] = cpu_data_in[3:0]; // $A000
- 5'b01001: chr_bank_a[7:4] = cpu_data_in[3:0]; // $A001
- 5'b01010: chr_bank_b[3:0] = cpu_data_in[3:0]; // $A002
- 5'b01011: chr_bank_b[7:4] = cpu_data_in[3:0]; // $A003
- 5'b01100: chr_bank_c[3:0] = cpu_data_in[3:0]; // $B000
- 5'b01101: chr_bank_c[7:4] = cpu_data_in[3:0]; // $B001
- 5'b01110: chr_bank_d[3:0] = cpu_data_in[3:0]; // $B002
- 5'b01111: chr_bank_d[7:4] = cpu_data_in[3:0]; // $B003
- 5'b10000: chr_bank_e[3:0] = cpu_data_in[3:0]; // $C000
- 5'b10001: chr_bank_e[7:4] = cpu_data_in[3:0]; // $C001
- 5'b10010: chr_bank_f[3:0] = cpu_data_in[3:0]; // $C002
- 5'b10011: chr_bank_f[7:4] = cpu_data_in[3:0]; // $C003
- 5'b10100: chr_bank_g[3:0] = cpu_data_in[3:0]; // $D000
- 5'b10101: chr_bank_g[7:4] = cpu_data_in[3:0]; // $D001
- 5'b10110: chr_bank_h[3:0] = cpu_data_in[3:0]; // $D002
- 5'b10111: chr_bank_h[7:4] = cpu_data_in[3:0]; // $D003
- 5'b11000: irq_cpu_latch[3:0] = cpu_data_in[3:0]; // $E000
- 5'b11001: irq_cpu_latch[7:4] = cpu_data_in[3:0]; // $E001
- 5'b11010: irq_cpu_latch[11:8] = cpu_data_in[3:0]; // $E002
- 5'b11011: irq_cpu_latch[15:12] = cpu_data_in[3:0]; // $E003
- 5'b11100: begin // $F000
- irq_cpu_value[15:0] = irq_cpu_latch[15:0];
- irq_cpu_out = 0;
- end
- 5'b11101: begin // $F001
- irq_cpu_control[3:0] = cpu_data_in[3:0];
- irq_cpu_out = 0;
- end
- 5'b11110: mirroring = cpu_data_in[1:0] ^ {1'b0, ~cpu_data_in[1]}; // $F002
- 5'b11111: ; // $F003 - sound
- endcase
- end
-
- // Mapper #7 - AxROM, mapper #241 - BNROM
- if (mapper == 5'b01000)
- begin
- prg_bank_a[5:2] = cpu_data_in[3:0];
- if (!USE_MAPPER_241 || !flags[0]) // BNROM?
- mirroring = {1'b1, cpu_data_in[4]};
- end
-
- // Mapper #228 - Cheetahmen II
- if (USE_MAPPER_228 && mapper == 5'b01001)
- begin
- prg_bank_a[5:2] = cpu_addr_in[10:7];
- chr_bank_a[7:3] = {/*cpu_addr_in[3]*/cpu_addr_in[2:0], cpu_data_in[1:0]}; // only 256k, sorry
- mirroring = {1'b0, cpu_addr_in[13]};
- end
-
- // Mapper #11 - ColorDreams
- if (USE_MAPPER_011 && mapper == 5'b01010)
- begin
- prg_bank_a[3:2] = cpu_data_in[1:0];
- chr_bank_a[6:3] = cpu_data_in[7:4];
- end
-
- // Mapper #66 - GxROM
- if (USE_MAPPER_066 && mapper == 5'b01011)
- begin
- prg_bank_a[3:2] = cpu_data_in[5:4];
- chr_bank_a[4:3] = cpu_data_in[1:0];
- end
-
- // Mapper #90 - JY
- if (USE_MAPPER_090 && mapper == 5'b01101)
- begin
- if (cpu_addr_in[14:12] == 3'b000) // $800x
- begin
- case (cpu_addr_in[1:0])
- 2'b00: prg_bank_a[5:0] = cpu_data_in[5:0];
- 2'b01: prg_bank_b[5:0] = cpu_data_in[5:0];
- 2'b10: prg_bank_c[5:0] = cpu_data_in[5:0];
- 2'b11: prg_bank_d[5:0] = cpu_data_in[5:0];
- endcase
- end
- if (cpu_addr_in[14:12] == 3'b001) // $900x
- begin
- case (cpu_addr_in[2:0])
- 3'b000: chr_bank_a = cpu_data_in;
- 3'b001: chr_bank_b = cpu_data_in;
- 3'b010: chr_bank_c = cpu_data_in;
- 3'b011: chr_bank_d = cpu_data_in;
- 3'b100: chr_bank_e = cpu_data_in;
- 3'b101: chr_bank_f = cpu_data_in;
- 3'b110: chr_bank_g = cpu_data_in;
- 3'b111: chr_bank_h = cpu_data_in;
- endcase
- end
- if ({cpu_addr_in[14:12], cpu_addr_in[1:0]} == 5'b10101) // $D001
- mirroring = cpu_data_in[1:0];
- if (/*USE_MAPPER_090_INTERRUPTS &&*/ cpu_addr_in[14:12] == 3'b100) // $C00x
- begin
- case (cpu_addr_in[2:0])
- 3'b000: irq_scanline_enabled = cpu_data_in[0];
- 3'b001: ;
- 3'b010: irq_scanline_enabled = 0;
- 3'b011: irq_scanline_enabled = 1;
- 3'b100: ;
- 3'b101: begin
- irq_scanline_latch = cpu_data_in ^ r0;
- irq_scanline_reload = 1;
- end
- 3'b110: r0 = cpu_data_in;
- 3'b111: ;
- endcase
- end
- end
-
- // Mapper #65 - Irem's H3001
- if (USE_MAPPER_065 && mapper == 5'b01110)
- begin
- case ({cpu_addr_in[14:12], cpu_addr_in[2:0]})
- 6'b000000: prg_bank_a[5:0] = cpu_data_in[5:0]; // $8000
- 6'b001001: mirroring = {1'b0, cpu_data_in[7]}; // $9001, mirroring
- 6'b001011: begin
- irq_cpu_control[0] = cpu_data_in[7]; // $9003, enable IRQ
- irq_cpu_out = 0;
- end
- 6'b001100: begin
- irq_cpu_value[15:0] = {r0, r1}; // $9004, IRQ reload
- irq_cpu_out = 0;
- end
- 6'b001101: r0 = cpu_data_in; // $9005, IRQ high value
- 6'b001110: r1 = cpu_data_in; // $9006, IRQ low value
- 6'b010000: prg_bank_b[5:0] = cpu_data_in[5:0]; // $A000
- 6'b011000: chr_bank_a = cpu_data_in; // $B000
- 6'b011001: chr_bank_b = cpu_data_in; // $B001
- 6'b011010: chr_bank_c = cpu_data_in; // $B002
- 6'b011011: chr_bank_d = cpu_data_in; // $B003
- 6'b011100: chr_bank_e = cpu_data_in; // $B004
- 6'b011101: chr_bank_f = cpu_data_in; // $B005
- 6'b011110: chr_bank_g = cpu_data_in; // $B006
- 6'b011111: chr_bank_h = cpu_data_in; // $B007
- 6'b100000: prg_bank_c[5:0] = cpu_data_in[5:0]; // $C000
- endcase
- end
-
- // Mapper #1 - MMC1
- /*
- r0 - load register
- flag0 - 16KB of WRAM (SOROM)
- */
- if (mapper == 5'b10000)
- begin
- if (cpu_data_in[7] == 1) // reset
- begin
- r0[5:0] = 6'b100000;
- prg_mode = 3'b000; // 0x4000 (A) + fixed last (C)
- prg_bank_c[4:0] = 5'b11110;
- end else begin
- r0[5:0] = {cpu_data_in[0], r0[5:1]};
- if (r0[0] == 1)
- begin
- case (cpu_addr_in[14:13])
- 2'b00: begin // $8000-$9FFF
- if (r0[4:3] == 2'b11)
- begin
- prg_mode = 3'b000; // 0x4000 (A) + fixed last (C)
- prg_bank_c[4:0] = 5'b11110;
- end else if (r0[4:3] == 2'b10)
- begin
- prg_mode = 3'b001; // fixed first (C) + 0x4000 (A)
- prg_bank_c[4:0] = 5'b00000;
- end else
- prg_mode = 3'b111; // 0x8000 (A)
- if (r0[5])
- chr_mode = 3'b100;
- else
- chr_mode = 3'b000;
- mirroring[1:0] = r0[2:1] ^ 2'b10;
- end
- 2'b01: begin // $A000-$BFFF
- chr_bank_a[6:2] = r0[5:1];
- prg_bank_a[5] = r0[5]; // for SUROM, 512k PRG support
- prg_bank_c[5] = r0[5]; // for SUROM, 512k PRG support
- end
- 2'b10: chr_bank_e[6:2] = r0[5:1]; // $C000-$DFFF
- 2'b11: begin
- prg_bank_a[4:1] = r0[4:1]; // $E000-$FFFF
- sram_enabled = ~r0[5];
- end
- endcase
- r0[5:0] = 6'b100000;
- if (flags[0]) // 16KB of WRAM
- begin
- if (chr_mode[2])
- sram_page = {1'b1, ~chr_bank_a[6]}; // page #2 is battery backed
- else
- sram_page = {1'b1, ~chr_bank_a[5]}; // wtf? ripped off from fce ultra source code and it works
- end
- // 32KB of WRAM is not supported yet (who cares)
- end
- end
- end
-
- // Mapper #9 and #10 - MMC2 and MMC4
- // flag0 - 0=MMC2, 1=MMC4
- if (USE_MAPPER_009_010 && mapper == 5'b10001)
- begin
- case (cpu_addr_in[14:12])
- 3'b010: if (~flags[0]) // $A000-$AFFF
- prg_bank_a[3:0] = cpu_data_in[3:0];
- else
- prg_bank_a[4:1] = cpu_data_in[3:0];
- 3'b011: chr_bank_a[6:2] = cpu_data_in[4:0]; // $B000-$BFFF
- 3'b100: chr_bank_b[6:2] = cpu_data_in[4:0]; // $C000-$CFFF
- 3'b101: chr_bank_e[6:2] = cpu_data_in[4:0]; // $D000-$DFFF
- 3'b110: chr_bank_f[6:2] = cpu_data_in[4:0]; // $E000-$EFFF
- 3'b111: mirroring = {1'b0, cpu_data_in[0]}; // $F000-$FFFF
- endcase
- end
-
- // Mapper #152
- if (USE_MAPPER_152 && mapper == 5'b10010)
- begin
- chr_bank_a[6:3] = cpu_data_in[3:0];
- prg_bank_a[3:1] = cpu_data_in[6:4];
- mirroring = {1'b1, cpu_data_in[7]};
- end
-
- // Mapper #73 - VRC3
- if (USE_MAPPER_073 && mapper == 5'b10011)
- begin
- case (cpu_addr_in[14:12])
- 3'b000: irq_cpu_latch[3:0] = cpu_data_in[3:0]; // $8000-$8FFF
- 3'b001: irq_cpu_latch[7:4] = cpu_data_in[3:0]; // $9000-$9FFF
- 3'b010: irq_cpu_latch[11:8] = cpu_data_in[3:0]; // $A000-$AFFF
- 3'b011: irq_cpu_latch[15:12] = cpu_data_in[3:0]; // $B000-$BFFF
- 3'b100: begin // $C000-$CFFF
- irq_cpu_out = 0; // ack
- irq_cpu_control[2:0] = cpu_data_in[2:0]; // mode, enabled, enabled after ack
- if (irq_cpu_control[1]) // if E is set
- irq_cpu_value[15:0] = irq_cpu_latch[15:0]; // reload with latch
- end
- 3'b101: begin // $D000-$DFFF
- irq_cpu_out = 0; // ack
- irq_cpu_control[1] = irq_cpu_control[0];
- end
- 3'b110: ; // $E000-$EFFF
- 3'b111: prg_bank_a[3:1] = cpu_data_in[2:0]; // $F000-$FFFF
- endcase
- end
-
- // Mapper #4 - MMC3/MMC6
- /*
- r0[2:0] - internal register
- flag0 - TxSROM
- flag1 - mapper #189
- */
- if (mapper == 5'b10100)
- begin
- case ({cpu_addr_in[14:13], cpu_addr_in[0]})
- 3'b000: begin // $8000-$9FFE, even
- r0[2:0] = cpu_data_in[2:0];
- if (!USE_MAPPER_189 | ~flags[1])
- begin
- if (cpu_data_in[6])
- prg_mode = 3'b101;
- else
- prg_mode = 3'b100;
- end
- if (cpu_data_in[7])
- chr_mode = 3'b011;
- else
- chr_mode = 3'b010;
- end
- 3'b001: begin // $8001-$9FFF, odd
- case (r0[2:0])
- 3'b000: chr_bank_a = cpu_data_in;
- 3'b001: chr_bank_c = cpu_data_in;
- 3'b010: chr_bank_e = cpu_data_in;
- 3'b011: chr_bank_f = cpu_data_in;
- 3'b100: chr_bank_g = cpu_data_in;
- 3'b101: chr_bank_h = cpu_data_in;
- 3'b110: if (!USE_MAPPER_189 | ~flags[1]) prg_bank_a[5:0] = cpu_data_in[5:0];
- 3'b111: if (!USE_MAPPER_189 | ~flags[1]) prg_bank_b[5:0] = cpu_data_in[5:0];
- endcase
- end
- 3'b010: mirroring = {1'b0, cpu_data_in[0]}; // $A000-$BFFE, even (mirroring)
- 3'b100: irq_scanline_latch = cpu_data_in; // $C000-$DFFE, even (IRQ latch)
- 3'b101: irq_scanline_reload = 1; // $C001-$DFFF, odd
- 3'b110: irq_scanline_enabled = 0; // $E000-$FFFE, even
- 3'b111: irq_scanline_enabled = 1; // $E001-$FFFF, odd
- endcase
- end
-
- // Mapper #112
- // r0[2:0] - internal register
- if (USE_MAPPER_112 && (mapper == 5'b10101))
- begin
- case (cpu_addr_in[14:13])
- 2'b00: r0[2:0] = cpu_data_in[2:0]; // $8000-$9FFF
- 2'b01: begin // $A000-$BFFF
- case (r0[2:0])
- 3'b000: prg_bank_a[5:0] = cpu_data_in[5:0];
- 3'b001: prg_bank_b[5:0] = cpu_data_in[5:0];
- 3'b010: chr_bank_a = cpu_data_in;
- 3'b011: chr_bank_c = cpu_data_in;
- 3'b100: chr_bank_e = cpu_data_in;
- 3'b101: chr_bank_f = cpu_data_in;
- 3'b110: chr_bank_g = cpu_data_in;
- 3'b111: chr_bank_h = cpu_data_in;
- endcase
- end
- 2'b10: ; // $C000-$DFFF
- 2'b11: mirroring = {1'b0, cpu_data_in[0]}; // $E000-$FFFF
- endcase
- end
-
- // Mappers #33 + #48 - Taito
- // flag0=0 - #33, flag0=1 - #48
- if (USE_MAPPER_033_048 && (mapper == 5'b10110))
- begin
- case ({cpu_addr_in[14:13], cpu_addr_in[1:0]})
- 4'b0000: begin
- prg_bank_a[5:0] = cpu_data_in[5:0]; // $8000, PRG Reg 0 (8k @ $8000)
- if (~flags[0]) // #33
- mirroring = {1'b0, cpu_data_in[6]};
- end
- 4'b0001: prg_bank_b[5:0] = cpu_data_in[5:0]; // $8001, PRG Reg 1 (8k @ $A000)
- 4'b0010: chr_bank_a = {cpu_data_in[6:0], 1'b0}; // $8002, CHR Reg 0 (2k @ $0000)
- 4'b0011: chr_bank_c = {cpu_data_in[6:0], 1'b0}; // $8003, CHR Reg 1 (2k @ $0800)
- 4'b0100: chr_bank_e = cpu_data_in; // $A000, CHR Reg 2 (1k @ $1000)
- 4'b0101: chr_bank_f = cpu_data_in; // $A001, CHR Reg 2 (1k @ $1400)
- 4'b0110: chr_bank_g = cpu_data_in; // $A002, CHR Reg 2 (1k @ $1800)
- 4'b0111: chr_bank_h = cpu_data_in; // $A003, CHR Reg 2 (1k @ $1C00)
- 4'b1100: if (flags[0]) mirroring = {1'b0, cpu_data_in[6]}; // $E000, mirroring, for mapper #48
- endcase
- if (USE_MAPPER_048_INTERRUPTS)
- begin
- case ({cpu_addr_in[14:13], cpu_addr_in[1:0]})
- 4'b1000: irq_scanline_latch = ~cpu_data_in; // $C000, IRQ latch
- 4'b1001: irq_scanline_reload = 1; // $C001, IRQ reload
- 4'b1010: irq_scanline_enabled = 1; // $C002, IRQ enable
- 4'b1011: irq_scanline_enabled = 0; // $C003, IRQ disable & ack
- endcase
- end
- end
-
- // Mapper #23 - VRC2/4
- /*
- flag0 - switches A0 and A1 lines. 0=A0,A1 like VRC2b (mapper #23), 1=A1,A0 like VRC2a(#22), VRC2c(#25)
- flag1 - divides CHR bank select by two (mapper #22, VRC2a)
- */
- if (USE_MAPPER_021_022_023_025 && mapper == 5'b11000)
- begin
- case ({cpu_addr_in[14:12], flags[0] ? vrc_2b_low : vrc_2b_hi, flags[0] ? vrc_2b_hi : vrc_2b_low})
- 5'b00000,
- 5'b00001,
- 5'b00010,
- 5'b00011: prg_bank_a[4:0] = cpu_data_in[4:0]; // $8000-$8003, PRG0
- 5'b00100,
- 5'b00101: if (cpu_data_in != 8'b11111111) mirroring = cpu_data_in[1:0]; // $9000-$9001, mirroring
- 5'b00110,
- 5'b00111: prg_mode[0] = cpu_data_in[1]; // $9002-$9004, PRG swap
- 5'b01000,
- 5'b01001,
- 5'b01010,
- 5'b01011: prg_bank_b[4:0] = cpu_data_in[4:0]; // $A000-$A003, PRG1
- endcase
- // flags[0] to shift lines
- if (!USE_MAPPER_022 | ~flags[1])
- begin
- case ({cpu_addr_in[14:12], flags[0] ? vrc_2b_low : vrc_2b_hi, flags[0] ? vrc_2b_hi : vrc_2b_low})
- 5'b01100: chr_bank_a[3:0] = cpu_data_in[3:0]; // $B000, CHR0 low
- 5'b01101: chr_bank_a[7:4] = cpu_data_in[3:0]; // $B001, CHR0 hi
- 5'b01110: chr_bank_b[3:0] = cpu_data_in[3:0]; // $B002, CHR1 low
- 5'b01111: chr_bank_b[7:4] = cpu_data_in[3:0]; // $B003, CHR1 hi
- 5'b10000: chr_bank_c[3:0] = cpu_data_in[3:0]; // $C000, CHR2 low
- 5'b10001: chr_bank_c[7:4] = cpu_data_in[3:0]; // $C001, CHR2 hi
- 5'b10010: chr_bank_d[3:0] = cpu_data_in[3:0]; // $C002, CHR3 low
- 5'b10011: chr_bank_d[7:4] = cpu_data_in[3:0]; // $C003, CHR3 hi
- 5'b10100: chr_bank_e[3:0] = cpu_data_in[3:0]; // $D000, CHR4 low
- 5'b10101: chr_bank_e[7:4] = cpu_data_in[3:0]; // $D001, CHR4 hi
- 5'b10110: chr_bank_f[3:0] = cpu_data_in[3:0]; // $D002, CHR5 low
- 5'b10111: chr_bank_f[7:4] = cpu_data_in[3:0]; // $D003, CHR5 hi
- 5'b11000: chr_bank_g[3:0] = cpu_data_in[3:0]; // $E000, CHR6 low
- 5'b11001: chr_bank_g[7:4] = cpu_data_in[3:0]; // $E001, CHR6 hi
- 5'b11010: chr_bank_h[3:0] = cpu_data_in[3:0]; // $E002, CHR7 low
- 5'b11011: chr_bank_h[7:4] = cpu_data_in[3:0]; // $E003, CHR7 hi
- endcase
- end else begin
- case ({cpu_addr_in[14:12], flags[0] ? vrc_2b_low : vrc_2b_hi, flags[0] ? vrc_2b_hi : vrc_2b_low})
- // VRC2a
- 5'b01100: chr_bank_a[2:0] = cpu_data_in[3:1]; // $B000, CHR0 low
- 5'b01101: chr_bank_a[7:3] = {1'b0, cpu_data_in[3:0]}; // $B001, CHR0 hi
- 5'b01110: chr_bank_b[2:0] = cpu_data_in[3:1]; // $B002, CHR1 low
- 5'b01111: chr_bank_b[7:3] = {1'b0, cpu_data_in[3:0]}; // $B003, CHR1 hi
- 5'b10000: chr_bank_c[2:0] = cpu_data_in[3:1]; // $C000, CHR2 low
- 5'b10001: chr_bank_c[7:3] = {1'b0, cpu_data_in[3:0]}; // $C001, CHR2 hi
- 5'b10010: chr_bank_d[2:0] = cpu_data_in[3:1]; // $C002, CHR3 low
- 5'b10011: chr_bank_d[7:3] = {1'b0, cpu_data_in[3:0]}; // $C003, CHR3 hi
- 5'b10100: chr_bank_e[2:0] = cpu_data_in[3:1]; // $D000, CHR4 low
- 5'b10101: chr_bank_e[7:3] = {1'b0, cpu_data_in[3:0]}; // $D001, CHR4 hi
- 5'b10110: chr_bank_f[2:0] = cpu_data_in[3:1]; // $D002, CHR5 low
- 5'b10111: chr_bank_f[7:3] = {1'b0, cpu_data_in[3:0]}; // $D003, CHR5 hi
- 5'b11000: chr_bank_g[2:0] = cpu_data_in[3:1]; // $E000, CHR6 low
- 5'b11001: chr_bank_g[7:3] = {1'b0, cpu_data_in[3:0]}; // $E001, CHR6 hi
- 5'b11010: chr_bank_h[2:0] = cpu_data_in[3:1]; // $E002, CHR7 low
- 5'b11011: chr_bank_h[7:3] = {1'b0, cpu_data_in[3:0]}; // $E003, CHR7 hi
- endcase
- end
-
- if (USE_VRC4_INTERRUPTS)
- begin
- if (cpu_addr_in[14:12] == 3'b111)
- begin
- case ({flags[0] ? vrc_2b_low : vrc_2b_hi, flags[0] ? vrc_2b_hi : vrc_2b_low})
- 2'b00: irq_cpu_latch[3:0] = cpu_data_in[3:0]; // IRQ latch low
- 2'b01: irq_cpu_latch[7:4] = cpu_data_in[3:0]; // IRQ latch hi
- 2'b10: begin // IRQ control
- irq_cpu_out = 0; // ack
- irq_cpu_control[2:0] = cpu_data_in[2:0]; // mode, enabled, enabled after ack
- if (irq_cpu_control[1]) begin // if E is set
- vrc4_irq_prescaler_counter = 2'b00; // reset prescaler
- vrc4_irq_prescaler = 0;
- irq_cpu_value[7:0] = irq_cpu_latch[7:0]; // reload with latch
- end
- end
- 2'b11: begin // IRQ ack
- irq_cpu_out = 0;
- irq_cpu_control[1] = irq_cpu_control[0];
- end
- endcase
- end
- end
- end
-
- // Mapper #69 - Sunsoft FME-7
- /*
- r0 - command register
- */
- if (USE_MAPPER_069 && mapper == 5'b11001)
- begin
- if (cpu_addr_in[14:13] == 2'b00) r0[3:0] = cpu_data_in[3:0];
- if (cpu_addr_in[14:13] == 2'b01)
- begin
- case (r0[3:0])
- 4'b0000: chr_bank_a = cpu_data_in; // CHR0
- 4'b0001: chr_bank_b = cpu_data_in; // CHR1
- 4'b0010: chr_bank_c = cpu_data_in; // CHR2
- 4'b0011: chr_bank_d = cpu_data_in; // CHR3
- 4'b0100: chr_bank_e = cpu_data_in; // CHR4
- 4'b0101: chr_bank_f = cpu_data_in; // CHR5
- 4'b0110: chr_bank_g = cpu_data_in; // CHR6
- 4'b0111: chr_bank_h = cpu_data_in; // CHR7
- 4'b1000: {sram_enabled, map_rom_on_6000, prg_bank_6000[5:0]} = {cpu_data_in[7], ~cpu_data_in[6], cpu_data_in[5:0]}; // PRG0
- 4'b1001: prg_bank_a[5:0] = cpu_data_in[5:0]; // PRG1
- 4'b1010: prg_bank_b[5:0] = cpu_data_in[5:0]; // PRG2
- 4'b1011: prg_bank_c[5:0] = cpu_data_in[5:0]; // PRG3
- 4'b1100: mirroring[1:0] = cpu_data_in[1:0]; // mirroring
- 4'b1101: begin
- irq_cpu_control[1:0] = {cpu_data_in[7], cpu_data_in[0]}; // IRQ control
- irq_cpu_out = 0; // ack
- end
- 4'b1110: irq_cpu_value[7:0] = cpu_data_in; // IRQ low
- 4'b1111: irq_cpu_value[15:8] = cpu_data_in; // IRQ high
- endcase
- end
- end
-
- // Mapper #32 - IREM G-101
- if (USE_MAPPER_032 && mapper == 5'b11010)
- begin
- case (cpu_addr_in[13:12])
- 2'b00: prg_bank_a[5:0] = cpu_data_in[5:0]; // PRG0
- 2'b01: {prg_mode[0], mirroring} = {cpu_data_in[1], 1'b0, cpu_data_in[0]}; // PRG mode, mirroring
- 2'b10: prg_bank_b[5:0] = cpu_data_in[5:0]; // PRG1
- 2'b11: begin
- case (cpu_addr_in[2:0]) // CHR regs
- 3'b000: chr_bank_a = cpu_data_in;
- 3'b001: chr_bank_b = cpu_data_in;
- 3'b010: chr_bank_c = cpu_data_in;
- 3'b011: chr_bank_d = cpu_data_in;
- 3'b100: chr_bank_e = cpu_data_in;
- 3'b101: chr_bank_f = cpu_data_in;
- 3'b110: chr_bank_g = cpu_data_in;
- 3'b111: chr_bank_h = cpu_data_in;
- endcase
- end
- endcase
- end
- end // romsel
- end // write
-
- // for MMC5
- if (USE_MAPPER_005 && mapper == 5'b01111 && romsel && cpu_addr_in[14:0] == 15'h5204) // write or read
- irq_scanline2_clear = 1;
-
- // some IRQ stuff
- if (irq_scanline_reload_clear)
- irq_scanline_reload = 0;
- if (irq_scanline2_clear && ~irq_scanline2_out)
- irq_scanline2_clear = 0;
- end
-
- // Fire scanline IRQ if counter is zero
- // BUT doesn't fire it when it's zero end reenabled
- always @ (*)
- begin
- if (!irq_scanline_enabled)
- begin
- irq_scanline_ready = 0;
- irq_scanline_out = 0;
- end else if (irq_scanline_enabled && !irq_scanline_value)
- irq_scanline_ready = 1;
- else if (irq_scanline_ready && irq_scanline_value)
- irq_scanline_out = 1;
- end
-
- // IRQ counter
- always @ (posedge ppu_addr_in[12])
- begin
- if (a12_low_time == 3)
- begin
- if ((irq_scanline_reload && !irq_scanline_reload_clear) || (irq_scanline_counter == 0))
- begin
- irq_scanline_counter = irq_scanline_latch;
- if (irq_scanline_reload) irq_scanline_reload_clear = 1;
- end else
- irq_scanline_counter = irq_scanline_counter - 1'b1;
- if (irq_scanline_counter == 0 && irq_scanline_enabled)
- irq_scanline_value = 1;
- else
- irq_scanline_value = 0;
- end
- if (!irq_scanline_reload) irq_scanline_reload_clear = 0;
- end
-
- // A12 must be low for 3 rises of M2
- always @ (posedge m2, posedge ppu_addr_in[12])
- begin
- if (ppu_addr_in[12])
- a12_low_time = 0;
- else if (a12_low_time < 3)
- a12_low_time = a12_low_time + 1'b1;
- end
-
- // V-blank detector
- always @ (negedge m2, negedge ppu_rd_in)
- begin
- if (~ppu_rd_in)
- begin
- ppu_rd_hi_time = 0;
- if (new_screen_clear) new_screen = 0;
- end else if (ppu_rd_hi_time < 4'b1111)
- begin
- ppu_rd_hi_time = ppu_rd_hi_time + 1'b1;
- end else new_screen = 1;
- end
-
- // Scanline counter
- always @ (negedge ppu_rd_in)
- begin
- if (irq_scanline2_clear) irq_scanline2_out = 0;
- if (~new_screen && new_screen_clear) new_screen_clear = 0;
- if (new_screen & ~new_screen_clear)
- begin
- scanline = 0;
- new_screen_clear = 1;
- ppu_mapper_163_latch = 0;
- end else
- if (ppu_addr_in[13:12] == 2'b10)
- begin
- if (ppu_nt_read_count < 3)
- begin
- ppu_nt_read_count = ppu_nt_read_count + 1'b1;
- end else begin
- scanline = scanline + 1'b1;
- if (irq_scanline2_enabled && scanline == irq_scanline2_line+1)
- irq_scanline2_out = 1;
- if (scanline == 129)
- ppu_mapper_163_latch = 1;
- end
- end else ppu_nt_read_count = 0;
- end
-
- // for MMC2/MMC4
- always @ (negedge ppu_rd_in)
- begin
- if (USE_MAPPER_009_010)
- begin
- if (ppu_addr_in[13:3] == 11'b00111111011) ppu_latch0 = 0;
- if (ppu_addr_in[13:3] == 11'b00111111101) ppu_latch0 = 1;
- if (ppu_addr_in[13:3] == 11'b01111111011) ppu_latch1 = 0;
- if (ppu_addr_in[13:3] == 11'b01111111101) ppu_latch1 = 1;
- end
- end
-
+ reg [26:14] cpu_base = 0;
+ reg [20:14] prg_mask = 7'b1111000;
+ reg [17:13] chr_mask = 0;
+ reg [2:0] prg_mode = 0;
+ reg map_rom_on_6000 = 0;
+ reg [7:0] prg_bank_6000 = 0;
+ reg [7:0] prg_bank_a = 0;
+ reg [7:0] prg_bank_b = 1;
+ reg [7:0] prg_bank_c = 8'b11111110;
+ reg [7:0] prg_bank_d = 8'b11111111;
+ reg [2:0] chr_mode = 0;
+ reg [7:0] chr_bank_a = 0;
+ reg [7:0] chr_bank_b = 1;
+ reg [7:0] chr_bank_c = 2;
+ reg [7:0] chr_bank_d = 3;
+ reg [7:0] chr_bank_e = 4;
+ reg [7:0] chr_bank_f = 5;
+ reg [7:0] chr_bank_g = 6;
+ reg [7:0] chr_bank_h = 7;
+ reg [4:0] mapper = 0;
+ reg [2:0] flags = 0;
+ reg sram_enabled = 0;
+ reg [1:0] sram_page = 0;
+ reg chr_write_enabled = 0;
+ reg prg_write_enabled = 0;
+ reg [1:0] mirroring = 0;
+ reg four_screen = 0;
+ reg lockout = 0;
+
+ // some common registers for all mappers
+ reg [7:0] r0 = 0;
+ reg [7:0] r1 = 0;
+ reg [7:0] r2 = 0;
+ reg [7:0] r3 = 0;
+ reg [7:0] r4 = 0;
+ reg [7:0] r5 = 0;
+
+ /*
+ reg [7:0] mul1;
+ reg [7:0] mul2;
+ wire [15:0] mul = mul1*mul2;
+ */
+
+ // for scanline-based interrupts
+ reg [7:0] irq_scanline_counter = 0;
+ reg [1:0] a12_low_time = 0;
+ reg irq_scanline_reload = 0;
+ reg [7:0] irq_scanline_latch = 0;
+ reg irq_scanline_reload_clear = 0;
+ reg irq_scanline_enabled = 0;
+ reg irq_scanline_value = 0;
+ reg irq_scanline_ready = 0;
+ reg irq_scanline_out = 0;
+ // for MMC5
+ reg irq_scanline2_enabled = 0;
+ reg [7:0] irq_scanline2_line = 0;
+ reg irq_scanline2_out = 0;
+ reg irq_scanline2_clear = 0;
+ // current scanline counter
+ reg [7:0] scanline = 0;
+ reg [3:0] ppu_rd_hi_time = 0;
+ reg new_screen = 0;
+ reg new_screen_clear = 0;
+ reg [1:0] ppu_nt_read_count;
+
+ // for CPU-based interrupts
+ reg [15:0] irq_cpu_value = 0;
+ reg irq_cpu_out = 0;
+ reg [3:0] irq_cpu_control = 0;
+ reg [15:0] irq_cpu_latch = 0;
+ reg [6:0] vrc4_irq_prescaler = 0;
+ reg [1:0] vrc4_irq_prescaler_counter = 0;
+
+ // for MMC2/MMC4
+ reg ppu_latch0 = 0;
+ reg ppu_latch1 = 0;
+ reg ppu_mapper_163_latch = 0;
+
+ reg writed;
+
+ wire cpu_data_out_enabled;
+ wire [7:0] cpu_data_out;
+ assign {cpu_data_out_enabled, cpu_data_out} =
+ (m2 & romsel & cpu_rw_in) ?
+ (
+ ((mapper == 0) && (cpu_addr_in[14:12] == 3'b101)) ? {8'b10000000, new_dendy} :
+ (USE_MAPPER_163 && (mapper == 5'b00110) && ({cpu_addr_in[14:12],cpu_addr_in[10:8]} == 6'b101001)) ?
+ {1'b1, r2 | r0 | r1 | ~r3} :
+ (USE_MAPPER_163 && (mapper == 5'b00110) && ({cpu_addr_in[14:12],cpu_addr_in[10:8]} == 6'b101101)) ?
+ {1'b1, r5[0] ? r2 : r1} :
+ (USE_MAPPER_005 && (mapper == 5'b01111) && (cpu_addr_in[14:0] == 15'h5204)) ?
+ {1'b1, irq_scanline2_out, ~new_screen, 6'b000000} :
+ //(USE_MAPPER_090_MUL && (mapper == 5'b01101) && (cpu_addr_in[14:0] == 15'h5800)) ? {1'b1, mul[7:0]} :
+ //(USE_MAPPER_090_MUL && (mapper == 5'b01101) && (cpu_addr_in[14:0] == 15'h5801)) ? {1'b1, mul[15:8]} :
+ 9'b000000000
+ ): 9'b000000000;
+
+ assign ppu_ciram_a10 = (USE_MAPPER_118 & (mapper == 5'b10100) & flags[0]) ? ppu_addr_mapped[17] :
+ (mirroring[1] ? mirroring[0] : (mirroring[0] ? ppu_addr_in[11] : ppu_addr_in[10])); // vertical / horizontal, 1Sa, 1Sb
+
+ wire [20:13] cpu_addr_mapped = (map_rom_on_6000 & romsel & m2) ? prg_bank_6000 :
+ (
+ prg_mode[2] ? (
+ prg_mode[1] ? (
+ prg_mode[0] ? (
+ // 111 - 0x8000(A)
+ {prg_bank_a[7:2], cpu_addr_in[14:13]}
+ ) : (
+ // 110 - 0x8000(B)
+ {prg_bank_b[7:2], cpu_addr_in[14:13]}
+ )
+ ) : ( // prg_mode[1]
+ prg_mode[0] ? (
+ // 101 - 0x2000(C)+0x2000(B)+0x2000(A)+0x2000(D)
+ cpu_addr_in[14] ? (cpu_addr_in[13] ? prg_bank_d : prg_bank_a) : (cpu_addr_in[13] ? prg_bank_b : prg_bank_c)
+ ) : ( // prg_mode[0]
+ // 100 - 0x2000(A)+0x2000(B)+0x2000(C)+0x2000(D)
+ cpu_addr_in[14] ? (cpu_addr_in[13] ? prg_bank_d : prg_bank_c) : (cpu_addr_in[13] ? prg_bank_b : prg_bank_a)
+ )
+ )
+ ) : ( // prg_mode[2]
+ prg_mode[0] ? (
+ // 0x1 - 0x4000(C) + 0x4000 (A)
+ {cpu_addr_in[14] ? prg_bank_a[7:1] : prg_bank_c[7:1], cpu_addr_in[13]}
+ ) : ( // prg_mode[0]
+ // 0x0 - 0x4000(A) + 0x4000 (С)
+ {cpu_addr_in[14] ? prg_bank_c[7:1] : prg_bank_a[7:1], cpu_addr_in[13]}
+ )
+ )
+ );
+
+ wire [17:10] ppu_addr_mapped = chr_mode[2] ? (
+ chr_mode[1] ? (
+ chr_mode[0] ? (
+ // 111 - 0x400(A)+0x400(B)+0x400(C)+0x400(D)+0x400(E)+0x400(F)+0x400(G)+0x400(H)
+ ppu_addr_in[12] ?
+ (ppu_addr_in[11] ? (ppu_addr_in[10] ? chr_bank_h : chr_bank_g) :
+ (ppu_addr_in[10] ? chr_bank_f : chr_bank_e)) : (ppu_addr_in[11] ? (ppu_addr_in[10] ? chr_bank_d : chr_bank_c) : (ppu_addr_in[10] ? chr_bank_b : chr_bank_a))
+ ) : ( // chr_mode[0]
+ // 110 - 0x800(A)+0x800(C)+0x800(E)+0x800(G)
+ {ppu_addr_in[12] ?
+ (ppu_addr_in[11] ? chr_bank_g[7:1] : chr_bank_e[7:1]) :
+ (ppu_addr_in[11] ? chr_bank_c[7:1] : chr_bank_a[7:1]), ppu_addr_in[10]}
+ )
+ ) : ( // chr_mode[1]
+ // 100 - 0x1000(A) + 0x1000(E)
+ // 101 - 0x1000(A/B) + 0x1000(E/F) - MMC2 и MMC4
+ {ppu_addr_in[12] ?
+ (((USE_MAPPER_009_010) && chr_mode[0] && ppu_latch1) ? chr_bank_f[7:2] : chr_bank_e[7:2]) :
+ (((USE_MAPPER_009_010) && chr_mode[0] && ppu_latch0) ? chr_bank_b[7:2] : chr_bank_a[7:2]),
+ ppu_addr_in[11:10]}
+ )
+ ) : ( // chr_mode[2]
+ chr_mode[1] ? (
+ // 010 - 0x800(A)+0x800(C)+0x400(E)+0x400(F)+0x400(G)+0x400(H)
+ // 011 - 0x400(E)+0x400(F)+0x400(G)+0x400(H)+0x800(A)+0x800(С)
+ (ppu_addr_in[12]^chr_mode[0]) ?
+ (ppu_addr_in[11] ?
+ (ppu_addr_in[10] ? chr_bank_h : chr_bank_g) :
+ (ppu_addr_in[10] ? chr_bank_f : chr_bank_e)
+ ) : (
+ ppu_addr_in[11] ? {chr_bank_c[7:1],ppu_addr_in[10]} : {chr_bank_a[7:1],ppu_addr_in[10]}
+ )
+ ) : ( // chr_mode[1]
+ (USE_MAPPER_163 && chr_mode[0]) ? (
+ // 001 - Mapper #163 special
+ {ppu_mapper_163_latch, ppu_addr_in[11:10]}
+ ) : (
+ // 000 - 0x2000(A)
+ {chr_bank_a[7:3], ppu_addr_in[12:10]}
+ )
+ )
+ );
+
+ assign irq = (irq_scanline_out | irq_scanline2_out | irq_cpu_out) ? 1'b0 : 1'bZ;
+
+ // for VRC
+ wire vrc_2b_hi = cpu_addr_in[1] | cpu_addr_in[3] | cpu_addr_in[5] | cpu_addr_in[7];
+ wire vrc_2b_low = cpu_addr_in[0] | cpu_addr_in[2] | cpu_addr_in[4] | cpu_addr_in[6];
+
+ always @ (negedge m2)
+ begin
+ // IRQ for VRC4
+ if (USE_MAPPER_021_022_023_025 & USE_VRC4_INTERRUPTS & (mapper == 5'b11000) & (irq_cpu_control[1]))
+ begin
+ // Cycle mode without prescaler is not used by any games? It's missed in fceux source code.
+ if (irq_cpu_control[2]) // cycle mode
+ begin
+ irq_cpu_value[7:0] = irq_cpu_value[7:0] + 1'b1; // just count IRQ value
+ if (irq_cpu_value[7:0] == 0)
+ begin
+ irq_cpu_out = 1;
+ irq_cpu_value[7:0] = irq_cpu_latch[7:0];
+ end
+ end else begin // scanline mode
+ vrc4_irq_prescaler = vrc4_irq_prescaler + 1'b1; // count prescaler
+ if ((vrc4_irq_prescaler_counter[1] == 0 && vrc4_irq_prescaler == 114) || (vrc4_irq_prescaler_counter[1] == 1 && vrc4_irq_prescaler == 113)) // 114, 114, 113
+ begin
+ irq_cpu_value[7:0] = irq_cpu_value[7:0] + 1'b1;
+ vrc4_irq_prescaler = 0;
+ vrc4_irq_prescaler_counter = vrc4_irq_prescaler_counter + 1'b1;
+ if (vrc4_irq_prescaler_counter == 2'b11) vrc4_irq_prescaler_counter = 2'b00;
+ if (irq_cpu_value[7:0] == 0)
+ begin
+ irq_cpu_out = 1;
+ irq_cpu_value[7:0] = irq_cpu_latch[7:0];
+ end
+ end
+ end
+ end
+
+ // IRQ for VRC3
+ if (USE_MAPPER_073 & (mapper == 5'b10011) & (irq_cpu_control[1]))
+ begin
+ if (irq_cpu_control[2])
+ begin // 8-bit mode
+ irq_cpu_value[7:0] = irq_cpu_value[7:0] + 1'b1;
+ if (irq_cpu_value[7:0] == 0)
+ begin
+ irq_cpu_out = 1;
+ irq_cpu_value[7:0] = irq_cpu_latch[7:0];
+ end
+ end else begin // 16-bit mode
+ irq_cpu_value[15:0] = irq_cpu_value[15:0] + 1'b1;
+ if (irq_cpu_value[15:0] == 0)
+ begin
+ irq_cpu_out = 1;
+ irq_cpu_value[15:0] = irq_cpu_latch[15:0];
+ end
+ end
+ end
+
+ // IRQ for Sunsoft FME-7
+ if (USE_MAPPER_069 & (mapper == 5'b11001) & (irq_cpu_control[1]))
+ begin
+ if ((irq_cpu_value[15:0] == 0) & irq_cpu_control[0]) irq_cpu_out = 1;
+ irq_cpu_value[15:0] = irq_cpu_value[15:0] - 1'b1;
+ end
+
+
+ // Mapper #18 - Sunsoft-2
+ if (USE_MAPPER_018 && mapper == 5'b00111)
+ begin
+ if (irq_cpu_control[0])
+ begin
+ if (irq_cpu_control[3])
+ begin
+ if (irq_cpu_value[3:0] == 0) irq_cpu_out = 1;
+ irq_cpu_value[3:0] = irq_cpu_value[3:0] - 1'b1;
+ end else if (irq_cpu_control[2]) begin
+ if (irq_cpu_value[7:0] == 0) irq_cpu_out = 1;
+ irq_cpu_value[7:0] = irq_cpu_value[7:0] - 1'b1;
+ end else if (irq_cpu_control[1]) begin
+ if (irq_cpu_value[11:0] == 0) irq_cpu_out = 1;
+ irq_cpu_value[11:0] = irq_cpu_value[11:0] - 1'b1;
+ end else begin
+ if (irq_cpu_value[15:0] == 0) irq_cpu_out = 1;
+ irq_cpu_value[15:0] = irq_cpu_value[15:0] - 1'b1;
+ end
+ end
+ end
+
+ // Mapper #65 - Irem's H3001
+ if (USE_MAPPER_065 && mapper == 5'b01110)
+ begin
+ if (irq_cpu_control[0])
+ begin
+ if (irq_cpu_value[15:0] > 0)
+ begin
+ irq_cpu_value[15:0] = irq_cpu_value[15:0] - 1'b1;
+ if (irq_cpu_value[15:0] == 0) irq_cpu_out = 1;
+ end
+ end
+ end
+
+ // IRQ for mapper #42
+ if (USE_MAPPER_042 & USE_MAPPER_042_INTERRUPTS & (mapper == 5'b10111) & (irq_cpu_control[0]))
+ begin
+ irq_cpu_value[14:0] = irq_cpu_value[14:0] + 1'b1;
+ irq_cpu_out = irq_cpu_value[14] & irq_cpu_value[13];
+ end
+
+ if (cpu_rw_in == 1) // read
+ begin
+ writed = 0;
+ // block two writes in a row (RMW) for games like Snow Bros. and Bill & Ted's Excellent Adventure
+ // also you can remove this check and just patch those games, lol
+ end else if (cpu_rw_in == 0 && !writed) // write
+ begin
+ writed = 1;
+ if (romsel) // $0000-$7FFF
+ begin
+ if ((cpu_addr_in[14:12] == 3'b101) && (lockout == 0)) // $5000-5FFF & lockout is off
+ begin
+ case (cpu_addr_in[2:0])
+ 3'b000: // $5xx0
+ {cpu_base[26:22]} = cpu_data_in[4:0]; // CPU base address A26-A22
+ 3'b001: // $5xx1
+ cpu_base[21:14] = cpu_data_in[7:0]; // CPU base address A21-A14
+ 3'b010: // $5xx2
+ prg_mask[20:14] = cpu_data_in[6:0]; // CPU mask A18-A14
+ 3'b011: // $5xx3
+ {prg_mode[2:0], chr_bank_a[7:3]} = cpu_data_in[7:0]; // PRG mode, direct chr_bank_a access
+ 3'b100: // $5xx4
+ {chr_mode[2:0], chr_mask[17:13]} = cpu_data_in[7:0]; // CHR mode, CHR mask A17-A13
+ 3'b101: // $5xx5
+ {prg_bank_a[5:1], sram_page[1:0]} = cpu_data_in[6:0]; // direct prg_bank_a access, current SRAM page 0-3
+ 3'b110: // $5xx6
+ {flags[2:0], mapper[4:0]} = cpu_data_in[7:0]; // some flags, mapper
+ 3'b111: // $5xx7
+ // some other parameters
+ {lockout, four_screen, mirroring[1:0], prg_write_enabled, chr_write_enabled, sram_enabled} = {cpu_data_in[7], cpu_data_in[5:0]};
+ endcase
+
+ if (USE_MAPPER_009_010 && mapper == 5'b10001) prg_bank_b = 8'b11111101;
+ if (USE_MAPPER_065 && mapper == 5'b01110) prg_bank_b = 1;
+ end
+
+ // Mapper #163
+ if (USE_MAPPER_163 && mapper == 5'b00110)
+ begin
+ if (cpu_addr_in[14:0] == 15'h5101)
+ begin
+ if ((r4 != 0) && (cpu_data_in == 0))
+ r5[0] = ~r5[0];
+ r4 = cpu_data_in;
+ end else if ((cpu_addr_in[14:0] == 15'h5100) && (cpu_data_in == 6))
+ begin
+ prg_mode[0] = 0;
+ prg_bank_b = 4'b1100;
+ end else if (cpu_addr_in[14:12] == 3'b101) begin
+ case (cpu_addr_in[9:8])
+ 2'b10: begin
+ prg_mode[0] = 1;
+ prg_bank_a[7:6] = cpu_data_in[1:0];
+ r0 = cpu_data_in;
+ end
+ 2'b00: begin
+ prg_mode[0] = 1;
+ prg_bank_a[5:2] = cpu_data_in[3:0];
+ chr_mode[0] = cpu_data_in[7];
+ r1 = cpu_data_in;
+ end
+ 2'b11: r2 = cpu_data_in;
+ 2'b01: r3 = cpu_data_in;
+ endcase
+ end
+ end
+
+ // Mapper #87
+ if (USE_MAPPER_087 && mapper == 5'b01100)
+ begin
+ if (cpu_addr_in[14] & cpu_addr_in[13]) // $6000-$7FFF
+ begin
+ chr_bank_a[4:3] = {cpu_data_in[0], cpu_data_in[1]};
+ end
+ end
+
+ // Mapper #90 - JY
+ /*
+ if (USE_MAPPER_090_MUL && mapper == 5'b01101)
+ begin
+ if (cpu_addr_in[14:0] == 15'h5800)
+ mul1 = cpu_data_in;
+ if (cpu_addr_in[14:0] == 15'h5801)
+ mul2 = cpu_data_in;
+ end
+ */
+
+ // Mapper #189
+ // It's MMC3 with flag1
+ if (USE_MAPPER_189 & flags[1] & (mapper == 5'b10100))
+ begin
+ if (cpu_addr_in[14:0] >= 15'h4120) // $4120-$7FFF
+ begin
+ prg_bank_a[5:2] = cpu_data_in[3:0] | cpu_data_in[7:4];
+ end
+ end
+
+ // MMC5
+ if (USE_MAPPER_005 && mapper == 5'b01111)
+ begin
+ // just workaround for Castlevania 3, not real MMC5
+ if (cpu_addr_in[14:0] == 15'h5105) // mirroring
+ begin
+ if (cpu_data_in == 8'b11111111)
+ four_screen = 1;
+ else begin
+ four_screen = 0;
+ case ({cpu_data_in[4], cpu_data_in[2]})
+ 2'b00: mirroring = 2'b10;
+ 2'b01: mirroring = 2'b00;
+ 2'b10: mirroring = 2'b01;
+ 2'b11: mirroring = 2'b11;
+ endcase
+ end
+ end
+ if (cpu_addr_in[14:0] == 15'h5115)
+ begin
+ prg_bank_a[4:0] = {cpu_data_in[4:1], 1'b0};
+ prg_bank_b[4:0] = {cpu_data_in[4:1], 1'b1};
+ end
+ if (cpu_addr_in[14:0] == 15'h5116)
+ prg_bank_c[4:0] = cpu_data_in[4:0];
+ if (cpu_addr_in[14:0] == 15'h5117)
+ prg_bank_d[4:0] = cpu_data_in[4:0];
+ if (cpu_addr_in[14:0] == 15'h5120)
+ chr_bank_a = cpu_data_in;
+ if (cpu_addr_in[14:0] == 15'h5121)
+ chr_bank_b = cpu_data_in;
+ if (cpu_addr_in[14:0] == 15'h5122)
+ chr_bank_c = cpu_data_in;
+ if (cpu_addr_in[14:0] == 15'h5123)
+ chr_bank_d = cpu_data_in;
+ if (cpu_addr_in[14:0] == 15'h5128)
+ chr_bank_e = cpu_data_in;
+ if (cpu_addr_in[14:0] == 15'h5129)
+ chr_bank_f = cpu_data_in;
+ if (cpu_addr_in[14:0] == 15'h512A)
+ chr_bank_g = cpu_data_in;
+ if (cpu_addr_in[14:0] == 15'h512B)
+ chr_bank_h = cpu_data_in;
+ if (cpu_addr_in[14:0] == 15'h5203)
+ begin
+ irq_scanline2_line = cpu_data_in;
+ irq_scanline2_clear = 1;
+ end
+ if (cpu_addr_in[14:0] == 15'h5204)
+ begin
+ irq_scanline2_enabled = cpu_data_in[7];
+ //irq_scanline2_clear = 1;
+ end
+ end
+
+ // temp/test
+ /*
+ if (mapper == 5'b11111)
+ begin
+ if (cpu_addr_in[14:0] == 15'h4025)
+ begin
+ mirroring = {1'b0, cpu_data_in[3]};
+ end
+ end
+ */
+ end else begin // $8000-$FFFF
+ // temp/test
+ /*
+ if (mapper == 5'b11111)
+ begin
+ prg_bank_6000 = cpu_data_in[4:1] + 4;
+ map_rom_on_6000 = 1;
+ end
+ */
+
+ // Mapper #2 - UxROM
+ // flag0 - mapper #71 - for Fire Hawk only.
+ // other mapper-#71 games are UxROM
+ if (mapper == 5'b00001)
+ begin
+ if (!USE_MAPPER_071 | ~flags[0] | (cpu_addr_in[14:12] != 3'b001))
+ begin
+ prg_bank_a[5:1] = cpu_data_in[4:0];
+ end else begin // CodeMasters, blah. Mirroring control used only by Fire Hawk
+ mirroring[1:0] = {1'b1, cpu_data_in[4]};
+ end
+ end
+
+ // Mapper #3 - CNROM
+ if (mapper == 5'b00010)
+ begin
+ chr_bank_a[7:3] = cpu_data_in[4:0];
+ end
+
+ // Mapper #78 - Holy Diver
+ if (USE_MAPPER_078 && mapper == 5'b00011)
+ begin
+ prg_bank_a[3:1] = cpu_data_in[2:0];
+ chr_bank_a[6:3] = cpu_data_in[7:4];
+ mirroring = {1'b0, ~cpu_data_in[3]};
+ end
+
+ // Mapper #97 - Irem's TAM-S1
+ if (USE_MAPPER_097 && mapper == 5'b00100)
+ begin
+ prg_bank_a[4:1] = cpu_data_in[3:0];
+ mirroring = cpu_data_in[7:6] ^ {~cpu_data_in[6], 1'b0};
+ end
+
+ // Mapper #93 - Sunsoft-2
+ if (USE_MAPPER_093 && mapper == 5'b00101)
+ begin
+ prg_bank_a[3:1] = {cpu_data_in[6:4]};
+ chr_write_enabled = cpu_data_in[0];
+ end
+
+ // Mapper #18 - Sunsoft-2
+ if (USE_MAPPER_018 && mapper == 5'b00111)
+ begin
+ case ({cpu_addr_in[14:12], cpu_addr_in[1:0]})
+ 5'b00000: prg_bank_a[3:0] = cpu_data_in[3:0]; // $8000
+ 5'b00001: prg_bank_a[7:4] = cpu_data_in[3:0]; // $8001
+ 5'b00010: prg_bank_b[3:0] = cpu_data_in[3:0]; // $8002
+ 5'b00011: prg_bank_b[7:4] = cpu_data_in[3:0]; // $8003
+ 5'b00100: prg_bank_c[3:0] = cpu_data_in[3:0]; // $9000
+ 5'b00101: prg_bank_c[7:4] = cpu_data_in[3:0]; // $9001
+ 5'b00110: ; // $9002
+ 5'b00111: ; // $9003
+ 5'b01000: chr_bank_a[3:0] = cpu_data_in[3:0]; // $A000
+ 5'b01001: chr_bank_a[7:4] = cpu_data_in[3:0]; // $A001
+ 5'b01010: chr_bank_b[3:0] = cpu_data_in[3:0]; // $A002
+ 5'b01011: chr_bank_b[7:4] = cpu_data_in[3:0]; // $A003
+ 5'b01100: chr_bank_c[3:0] = cpu_data_in[3:0]; // $B000
+ 5'b01101: chr_bank_c[7:4] = cpu_data_in[3:0]; // $B001
+ 5'b01110: chr_bank_d[3:0] = cpu_data_in[3:0]; // $B002
+ 5'b01111: chr_bank_d[7:4] = cpu_data_in[3:0]; // $B003
+ 5'b10000: chr_bank_e[3:0] = cpu_data_in[3:0]; // $C000
+ 5'b10001: chr_bank_e[7:4] = cpu_data_in[3:0]; // $C001
+ 5'b10010: chr_bank_f[3:0] = cpu_data_in[3:0]; // $C002
+ 5'b10011: chr_bank_f[7:4] = cpu_data_in[3:0]; // $C003
+ 5'b10100: chr_bank_g[3:0] = cpu_data_in[3:0]; // $D000
+ 5'b10101: chr_bank_g[7:4] = cpu_data_in[3:0]; // $D001
+ 5'b10110: chr_bank_h[3:0] = cpu_data_in[3:0]; // $D002
+ 5'b10111: chr_bank_h[7:4] = cpu_data_in[3:0]; // $D003
+ 5'b11000: irq_cpu_latch[3:0] = cpu_data_in[3:0]; // $E000
+ 5'b11001: irq_cpu_latch[7:4] = cpu_data_in[3:0]; // $E001
+ 5'b11010: irq_cpu_latch[11:8] = cpu_data_in[3:0]; // $E002
+ 5'b11011: irq_cpu_latch[15:12] = cpu_data_in[3:0]; // $E003
+ 5'b11100: begin // $F000
+ irq_cpu_value[15:0] = irq_cpu_latch[15:0];
+ irq_cpu_out = 0;
+ end
+ 5'b11101: begin // $F001
+ irq_cpu_control[3:0] = cpu_data_in[3:0];
+ irq_cpu_out = 0;
+ end
+ 5'b11110: mirroring = cpu_data_in[1:0] ^ {1'b0, ~cpu_data_in[1]}; // $F002
+ 5'b11111: ; // $F003 - sound
+ endcase
+ end
+
+ // Mapper #7 - AxROM, mapper #241 - BNROM
+ if (mapper == 5'b01000)
+ begin
+ prg_bank_a[5:2] = cpu_data_in[3:0];
+ if (!USE_MAPPER_241 || !flags[0]) // BNROM?
+ mirroring = {1'b1, cpu_data_in[4]};
+ end
+
+ // Mapper #228 - Cheetahmen II
+ if (USE_MAPPER_228 && mapper == 5'b01001)
+ begin
+ prg_bank_a[5:2] = cpu_addr_in[10:7];
+ chr_bank_a[7:3] = {/*cpu_addr_in[3]*/cpu_addr_in[2:0], cpu_data_in[1:0]}; // only 256k, sorry
+ mirroring = {1'b0, cpu_addr_in[13]};
+ end
+
+ // Mapper #11 - ColorDreams
+ if (USE_MAPPER_011 && mapper == 5'b01010)
+ begin
+ prg_bank_a[3:2] = cpu_data_in[1:0];
+ chr_bank_a[6:3] = cpu_data_in[7:4];
+ end
+
+ // Mapper #66 - GxROM
+ if (USE_MAPPER_066 && mapper == 5'b01011)
+ begin
+ prg_bank_a[3:2] = cpu_data_in[5:4];
+ chr_bank_a[4:3] = cpu_data_in[1:0];
+ end
+
+ // Mapper #90 - JY
+ if (USE_MAPPER_090 && mapper == 5'b01101)
+ begin
+ if (cpu_addr_in[14:12] == 3'b000) // $800x
+ begin
+ case (cpu_addr_in[1:0])
+ 2'b00: prg_bank_a[5:0] = cpu_data_in[5:0];
+ 2'b01: prg_bank_b[5:0] = cpu_data_in[5:0];
+ 2'b10: prg_bank_c[5:0] = cpu_data_in[5:0];
+ 2'b11: prg_bank_d[5:0] = cpu_data_in[5:0];
+ endcase
+ end
+ if (cpu_addr_in[14:12] == 3'b001) // $900x
+ begin
+ case (cpu_addr_in[2:0])
+ 3'b000: chr_bank_a = cpu_data_in;
+ 3'b001: chr_bank_b = cpu_data_in;
+ 3'b010: chr_bank_c = cpu_data_in;
+ 3'b011: chr_bank_d = cpu_data_in;
+ 3'b100: chr_bank_e = cpu_data_in;
+ 3'b101: chr_bank_f = cpu_data_in;
+ 3'b110: chr_bank_g = cpu_data_in;
+ 3'b111: chr_bank_h = cpu_data_in;
+ endcase
+ end
+ if ({cpu_addr_in[14:12], cpu_addr_in[1:0]} == 5'b10101) // $D001
+ mirroring = cpu_data_in[1:0];
+ if (/*USE_MAPPER_090_INTERRUPTS &&*/ cpu_addr_in[14:12] == 3'b100) // $C00x
+ begin
+ case (cpu_addr_in[2:0])
+ 3'b000: irq_scanline_enabled = cpu_data_in[0];
+ 3'b001: ;
+ 3'b010: irq_scanline_enabled = 0;
+ 3'b011: irq_scanline_enabled = 1;
+ 3'b100: ;
+ 3'b101: begin
+ irq_scanline_latch = cpu_data_in ^ r0;
+ irq_scanline_reload = 1;
+ end
+ 3'b110: r0 = cpu_data_in;
+ 3'b111: ;
+ endcase
+ end
+ end
+
+ // Mapper #65 - Irem's H3001
+ if (USE_MAPPER_065 && mapper == 5'b01110)
+ begin
+ case ({cpu_addr_in[14:12], cpu_addr_in[2:0]})
+ 6'b000000: prg_bank_a[5:0] = cpu_data_in[5:0]; // $8000
+ 6'b001001: mirroring = {1'b0, cpu_data_in[7]}; // $9001, mirroring
+ 6'b001011: begin
+ irq_cpu_control[0] = cpu_data_in[7]; // $9003, enable IRQ
+ irq_cpu_out = 0;
+ end
+ 6'b001100: begin
+ irq_cpu_value[15:0] = {r0, r1}; // $9004, IRQ reload
+ irq_cpu_out = 0;
+ end
+ 6'b001101: r0 = cpu_data_in; // $9005, IRQ high value
+ 6'b001110: r1 = cpu_data_in; // $9006, IRQ low value
+ 6'b010000: prg_bank_b[5:0] = cpu_data_in[5:0]; // $A000
+ 6'b011000: chr_bank_a = cpu_data_in; // $B000
+ 6'b011001: chr_bank_b = cpu_data_in; // $B001
+ 6'b011010: chr_bank_c = cpu_data_in; // $B002
+ 6'b011011: chr_bank_d = cpu_data_in; // $B003
+ 6'b011100: chr_bank_e = cpu_data_in; // $B004
+ 6'b011101: chr_bank_f = cpu_data_in; // $B005
+ 6'b011110: chr_bank_g = cpu_data_in; // $B006
+ 6'b011111: chr_bank_h = cpu_data_in; // $B007
+ 6'b100000: prg_bank_c[5:0] = cpu_data_in[5:0]; // $C000
+ endcase
+ end
+
+ // Mapper #1 - MMC1
+ /*
+ r0 - load register
+ flag0 - 16KB of WRAM (SOROM)
+ */
+ if (mapper == 5'b10000)
+ begin
+ if (cpu_data_in[7] == 1) // reset
+ begin
+ r0[5:0] = 6'b100000;
+ prg_mode = 3'b000; // 0x4000 (A) + fixed last (C)
+ prg_bank_c[4:0] = 5'b11110;
+ end else begin
+ r0[5:0] = {cpu_data_in[0], r0[5:1]};
+ if (r0[0] == 1)
+ begin
+ case (cpu_addr_in[14:13])
+ 2'b00: begin // $8000-$9FFF
+ if (r0[4:3] == 2'b11)
+ begin
+ prg_mode = 3'b000; // 0x4000 (A) + fixed last (C)
+ prg_bank_c[4:0] = 5'b11110;
+ end else if (r0[4:3] == 2'b10)
+ begin
+ prg_mode = 3'b001; // fixed first (C) + 0x4000 (A)
+ prg_bank_c[4:0] = 5'b00000;
+ end else
+ prg_mode = 3'b111; // 0x8000 (A)
+ if (r0[5])
+ chr_mode = 3'b100;
+ else
+ chr_mode = 3'b000;
+ mirroring[1:0] = r0[2:1] ^ 2'b10;
+ end
+ 2'b01: begin // $A000-$BFFF
+ chr_bank_a[6:2] = r0[5:1];
+ prg_bank_a[5] = r0[5]; // for SUROM, 512k PRG support
+ prg_bank_c[5] = r0[5]; // for SUROM, 512k PRG support
+ end
+ 2'b10: chr_bank_e[6:2] = r0[5:1]; // $C000-$DFFF
+ 2'b11: begin
+ prg_bank_a[4:1] = r0[4:1]; // $E000-$FFFF
+ sram_enabled = ~r0[5];
+ end
+ endcase
+ r0[5:0] = 6'b100000;
+ if (flags[0]) // 16KB of WRAM
+ begin
+ if (chr_mode[2])
+ sram_page = {1'b1, ~chr_bank_a[6]}; // page #2 is battery backed
+ else
+ sram_page = {1'b1, ~chr_bank_a[5]}; // wtf? ripped off from fce ultra source code and it works
+ end
+ // 32KB of WRAM is not supported yet (who cares)
+ end
+ end
+ end
+
+ // Mapper #9 and #10 - MMC2 and MMC4
+ // flag0 - 0=MMC2, 1=MMC4
+ if (USE_MAPPER_009_010 && mapper == 5'b10001)
+ begin
+ case (cpu_addr_in[14:12])
+ 3'b010: if (~flags[0]) // $A000-$AFFF
+ prg_bank_a[3:0] = cpu_data_in[3:0];
+ else
+ prg_bank_a[4:1] = cpu_data_in[3:0];
+ 3'b011: chr_bank_a[6:2] = cpu_data_in[4:0]; // $B000-$BFFF
+ 3'b100: chr_bank_b[6:2] = cpu_data_in[4:0]; // $C000-$CFFF
+ 3'b101: chr_bank_e[6:2] = cpu_data_in[4:0]; // $D000-$DFFF
+ 3'b110: chr_bank_f[6:2] = cpu_data_in[4:0]; // $E000-$EFFF
+ 3'b111: mirroring = {1'b0, cpu_data_in[0]}; // $F000-$FFFF
+ endcase
+ end
+
+ // Mapper #152
+ if (USE_MAPPER_152 && mapper == 5'b10010)
+ begin
+ chr_bank_a[6:3] = cpu_data_in[3:0];
+ prg_bank_a[3:1] = cpu_data_in[6:4];
+ mirroring = {1'b1, cpu_data_in[7]};
+ end
+
+ // Mapper #73 - VRC3
+ if (USE_MAPPER_073 && mapper == 5'b10011)
+ begin
+ case (cpu_addr_in[14:12])
+ 3'b000: irq_cpu_latch[3:0] = cpu_data_in[3:0]; // $8000-$8FFF
+ 3'b001: irq_cpu_latch[7:4] = cpu_data_in[3:0]; // $9000-$9FFF
+ 3'b010: irq_cpu_latch[11:8] = cpu_data_in[3:0]; // $A000-$AFFF
+ 3'b011: irq_cpu_latch[15:12] = cpu_data_in[3:0]; // $B000-$BFFF
+ 3'b100: begin // $C000-$CFFF
+ irq_cpu_out = 0; // ack
+ irq_cpu_control[2:0] = cpu_data_in[2:0]; // mode, enabled, enabled after ack
+ if (irq_cpu_control[1]) // if E is set
+ irq_cpu_value[15:0] = irq_cpu_latch[15:0]; // reload with latch
+ end
+ 3'b101: begin // $D000-$DFFF
+ irq_cpu_out = 0; // ack
+ irq_cpu_control[1] = irq_cpu_control[0];
+ end
+ 3'b110: ; // $E000-$EFFF
+ 3'b111: prg_bank_a[3:1] = cpu_data_in[2:0]; // $F000-$FFFF
+ endcase
+ end
+
+ // Mapper #4 - MMC3/MMC6
+ /*
+ r0[2:0] - internal register
+ flag0 - TxSROM
+ flag1 - mapper #189
+ */
+ if (mapper == 5'b10100)
+ begin
+ case ({cpu_addr_in[14:13], cpu_addr_in[0]})
+ 3'b000: begin // $8000-$9FFE, even
+ r0[2:0] = cpu_data_in[2:0];
+ if (!USE_MAPPER_189 | ~flags[1])
+ begin
+ if (cpu_data_in[6])
+ prg_mode = 3'b101;
+ else
+ prg_mode = 3'b100;
+ end
+ if (cpu_data_in[7])
+ chr_mode = 3'b011;
+ else
+ chr_mode = 3'b010;
+ end
+ 3'b001: begin // $8001-$9FFF, odd
+ case (r0[2:0])
+ 3'b000: chr_bank_a = cpu_data_in;
+ 3'b001: chr_bank_c = cpu_data_in;
+ 3'b010: chr_bank_e = cpu_data_in;
+ 3'b011: chr_bank_f = cpu_data_in;
+ 3'b100: chr_bank_g = cpu_data_in;
+ 3'b101: chr_bank_h = cpu_data_in;
+ 3'b110: if (!USE_MAPPER_189 | ~flags[1]) prg_bank_a[5:0] = cpu_data_in[5:0];
+ 3'b111: if (!USE_MAPPER_189 | ~flags[1]) prg_bank_b[5:0] = cpu_data_in[5:0];
+ endcase
+ end
+ 3'b010: mirroring = {1'b0, cpu_data_in[0]}; // $A000-$BFFE, even (mirroring)
+ 3'b100: irq_scanline_latch = cpu_data_in; // $C000-$DFFE, even (IRQ latch)
+ 3'b101: irq_scanline_reload = 1; // $C001-$DFFF, odd
+ 3'b110: irq_scanline_enabled = 0; // $E000-$FFFE, even
+ 3'b111: irq_scanline_enabled = 1; // $E001-$FFFF, odd
+ endcase
+ end
+
+ // Mapper #112
+ // r0[2:0] - internal register
+ if (USE_MAPPER_112 && (mapper == 5'b10101))
+ begin
+ case (cpu_addr_in[14:13])
+ 2'b00: r0[2:0] = cpu_data_in[2:0]; // $8000-$9FFF
+ 2'b01: begin // $A000-$BFFF
+ case (r0[2:0])
+ 3'b000: prg_bank_a[5:0] = cpu_data_in[5:0];
+ 3'b001: prg_bank_b[5:0] = cpu_data_in[5:0];
+ 3'b010: chr_bank_a = cpu_data_in;
+ 3'b011: chr_bank_c = cpu_data_in;
+ 3'b100: chr_bank_e = cpu_data_in;
+ 3'b101: chr_bank_f = cpu_data_in;
+ 3'b110: chr_bank_g = cpu_data_in;
+ 3'b111: chr_bank_h = cpu_data_in;
+ endcase
+ end
+ 2'b10: ; // $C000-$DFFF
+ 2'b11: mirroring = {1'b0, cpu_data_in[0]}; // $E000-$FFFF
+ endcase
+ end
+
+ // Mappers #33 + #48 - Taito
+ // flag0=0 - #33, flag0=1 - #48
+ if (USE_MAPPER_033_048 && (mapper == 5'b10110))
+ begin
+ case ({cpu_addr_in[14:13], cpu_addr_in[1:0]})
+ 4'b0000: begin
+ prg_bank_a[5:0] = cpu_data_in[5:0]; // $8000, PRG Reg 0 (8k @ $8000)
+ if (~flags[0]) // #33
+ mirroring = {1'b0, cpu_data_in[6]};
+ end
+ 4'b0001: prg_bank_b[5:0] = cpu_data_in[5:0]; // $8001, PRG Reg 1 (8k @ $A000)
+ 4'b0010: chr_bank_a = {cpu_data_in[6:0], 1'b0}; // $8002, CHR Reg 0 (2k @ $0000)
+ 4'b0011: chr_bank_c = {cpu_data_in[6:0], 1'b0}; // $8003, CHR Reg 1 (2k @ $0800)
+ 4'b0100: chr_bank_e = cpu_data_in; // $A000, CHR Reg 2 (1k @ $1000)
+ 4'b0101: chr_bank_f = cpu_data_in; // $A001, CHR Reg 2 (1k @ $1400)
+ 4'b0110: chr_bank_g = cpu_data_in; // $A002, CHR Reg 2 (1k @ $1800)
+ 4'b0111: chr_bank_h = cpu_data_in; // $A003, CHR Reg 2 (1k @ $1C00)
+ 4'b1100: if (flags[0]) mirroring = {1'b0, cpu_data_in[6]}; // $E000, mirroring, for mapper #48
+ endcase
+ if (USE_MAPPER_048_INTERRUPTS)
+ begin
+ case ({cpu_addr_in[14:13], cpu_addr_in[1:0]})
+ 4'b1000: irq_scanline_latch = ~cpu_data_in; // $C000, IRQ latch
+ 4'b1001: irq_scanline_reload = 1; // $C001, IRQ reload
+ 4'b1010: irq_scanline_enabled = 1; // $C002, IRQ enable
+ 4'b1011: irq_scanline_enabled = 0; // $C003, IRQ disable & ack
+ endcase
+ end
+ end
+
+ // Mappers #42
+ if (USE_MAPPER_042 && (mapper == 5'b10111))
+ begin
+ map_rom_on_6000 = 1;
+ case ({cpu_addr_in[14], cpu_addr_in[1:0]})
+ 3'b000: chr_bank_a[7:3] = cpu_data_in[4:0]; // $8000, CHR Reg (8k @ $8000)
+ 3'b100: prg_bank_6000[3:0] = cpu_data_in[3:0]; // $E000, PRG Reg (8k @ $6000)
+ 3'b101: mirroring = {1'b0, cpu_data_in[3]}; // Mirroring
+ 3'b110: if (USE_MAPPER_042_INTERRUPTS) begin
+ irq_cpu_control[0] = cpu_data_in[1];
+ if (!irq_cpu_control[0]) begin
+ irq_cpu_out = 0;
+ irq_cpu_value = 0;
+ end
+ end
+ endcase
+ end
+
+ // Mapper #23 - VRC2/4
+ /*
+ flag0 - switches A0 and A1 lines. 0=A0,A1 like VRC2b (mapper #23), 1=A1,A0 like VRC2a(#22), VRC2c(#25)
+ flag1 - divides CHR bank select by two (mapper #22, VRC2a)
+ */
+ if (USE_MAPPER_021_022_023_025 && mapper == 5'b11000)
+ begin
+ case ({cpu_addr_in[14:12], flags[0] ? vrc_2b_low : vrc_2b_hi, flags[0] ? vrc_2b_hi : vrc_2b_low})
+ 5'b00000,
+ 5'b00001,
+ 5'b00010,
+ 5'b00011: prg_bank_a[4:0] = cpu_data_in[4:0]; // $8000-$8003, PRG0
+ 5'b00100,
+ 5'b00101: if (cpu_data_in != 8'b11111111) mirroring = cpu_data_in[1:0]; // $9000-$9001, mirroring
+ 5'b00110,
+ 5'b00111: prg_mode[0] = cpu_data_in[1]; // $9002-$9004, PRG swap
+ 5'b01000,
+ 5'b01001,
+ 5'b01010,
+ 5'b01011: prg_bank_b[4:0] = cpu_data_in[4:0]; // $A000-$A003, PRG1
+ endcase
+ // flags[0] to shift lines
+ if (!USE_MAPPER_022 | ~flags[1])
+ begin
+ case ({cpu_addr_in[14:12], flags[0] ? vrc_2b_low : vrc_2b_hi, flags[0] ? vrc_2b_hi : vrc_2b_low})
+ 5'b01100: chr_bank_a[3:0] = cpu_data_in[3:0]; // $B000, CHR0 low
+ 5'b01101: chr_bank_a[7:4] = cpu_data_in[3:0]; // $B001, CHR0 hi
+ 5'b01110: chr_bank_b[3:0] = cpu_data_in[3:0]; // $B002, CHR1 low
+ 5'b01111: chr_bank_b[7:4] = cpu_data_in[3:0]; // $B003, CHR1 hi
+ 5'b10000: chr_bank_c[3:0] = cpu_data_in[3:0]; // $C000, CHR2 low
+ 5'b10001: chr_bank_c[7:4] = cpu_data_in[3:0]; // $C001, CHR2 hi
+ 5'b10010: chr_bank_d[3:0] = cpu_data_in[3:0]; // $C002, CHR3 low
+ 5'b10011: chr_bank_d[7:4] = cpu_data_in[3:0]; // $C003, CHR3 hi
+ 5'b10100: chr_bank_e[3:0] = cpu_data_in[3:0]; // $D000, CHR4 low
+ 5'b10101: chr_bank_e[7:4] = cpu_data_in[3:0]; // $D001, CHR4 hi
+ 5'b10110: chr_bank_f[3:0] = cpu_data_in[3:0]; // $D002, CHR5 low
+ 5'b10111: chr_bank_f[7:4] = cpu_data_in[3:0]; // $D003, CHR5 hi
+ 5'b11000: chr_bank_g[3:0] = cpu_data_in[3:0]; // $E000, CHR6 low
+ 5'b11001: chr_bank_g[7:4] = cpu_data_in[3:0]; // $E001, CHR6 hi
+ 5'b11010: chr_bank_h[3:0] = cpu_data_in[3:0]; // $E002, CHR7 low
+ 5'b11011: chr_bank_h[7:4] = cpu_data_in[3:0]; // $E003, CHR7 hi
+ endcase
+ end else begin
+ case ({cpu_addr_in[14:12], flags[0] ? vrc_2b_low : vrc_2b_hi, flags[0] ? vrc_2b_hi : vrc_2b_low})
+ // VRC2a
+ 5'b01100: chr_bank_a[2:0] = cpu_data_in[3:1]; // $B000, CHR0 low
+ 5'b01101: chr_bank_a[7:3] = {1'b0, cpu_data_in[3:0]}; // $B001, CHR0 hi
+ 5'b01110: chr_bank_b[2:0] = cpu_data_in[3:1]; // $B002, CHR1 low
+ 5'b01111: chr_bank_b[7:3] = {1'b0, cpu_data_in[3:0]}; // $B003, CHR1 hi
+ 5'b10000: chr_bank_c[2:0] = cpu_data_in[3:1]; // $C000, CHR2 low
+ 5'b10001: chr_bank_c[7:3] = {1'b0, cpu_data_in[3:0]}; // $C001, CHR2 hi
+ 5'b10010: chr_bank_d[2:0] = cpu_data_in[3:1]; // $C002, CHR3 low
+ 5'b10011: chr_bank_d[7:3] = {1'b0, cpu_data_in[3:0]}; // $C003, CHR3 hi
+ 5'b10100: chr_bank_e[2:0] = cpu_data_in[3:1]; // $D000, CHR4 low
+ 5'b10101: chr_bank_e[7:3] = {1'b0, cpu_data_in[3:0]}; // $D001, CHR4 hi
+ 5'b10110: chr_bank_f[2:0] = cpu_data_in[3:1]; // $D002, CHR5 low
+ 5'b10111: chr_bank_f[7:3] = {1'b0, cpu_data_in[3:0]}; // $D003, CHR5 hi
+ 5'b11000: chr_bank_g[2:0] = cpu_data_in[3:1]; // $E000, CHR6 low
+ 5'b11001: chr_bank_g[7:3] = {1'b0, cpu_data_in[3:0]}; // $E001, CHR6 hi
+ 5'b11010: chr_bank_h[2:0] = cpu_data_in[3:1]; // $E002, CHR7 low
+ 5'b11011: chr_bank_h[7:3] = {1'b0, cpu_data_in[3:0]}; // $E003, CHR7 hi
+ endcase
+ end
+
+ if (USE_VRC4_INTERRUPTS)
+ begin
+ if (cpu_addr_in[14:12] == 3'b111)
+ begin
+ case ({flags[0] ? vrc_2b_low : vrc_2b_hi, flags[0] ? vrc_2b_hi : vrc_2b_low})
+ 2'b00: irq_cpu_latch[3:0] = cpu_data_in[3:0]; // IRQ latch low
+ 2'b01: irq_cpu_latch[7:4] = cpu_data_in[3:0]; // IRQ latch hi
+ 2'b10: begin // IRQ control
+ irq_cpu_out = 0; // ack
+ irq_cpu_control[2:0] = cpu_data_in[2:0]; // mode, enabled, enabled after ack
+ if (irq_cpu_control[1]) begin // if E is set
+ vrc4_irq_prescaler_counter = 2'b00; // reset prescaler
+ vrc4_irq_prescaler = 0;
+ irq_cpu_value[7:0] = irq_cpu_latch[7:0]; // reload with latch
+ end
+ end
+ 2'b11: begin // IRQ ack
+ irq_cpu_out = 0;
+ irq_cpu_control[1] = irq_cpu_control[0];
+ end
+ endcase
+ end
+ end
+ end
+
+ // Mapper #69 - Sunsoft FME-7
+ /*
+ r0 - command register
+ */
+ if (USE_MAPPER_069 && mapper == 5'b11001)
+ begin
+ if (cpu_addr_in[14:13] == 2'b00) r0[3:0] = cpu_data_in[3:0];
+ if (cpu_addr_in[14:13] == 2'b01)
+ begin
+ case (r0[3:0])
+ 4'b0000: chr_bank_a = cpu_data_in; // CHR0
+ 4'b0001: chr_bank_b = cpu_data_in; // CHR1
+ 4'b0010: chr_bank_c = cpu_data_in; // CHR2
+ 4'b0011: chr_bank_d = cpu_data_in; // CHR3
+ 4'b0100: chr_bank_e = cpu_data_in; // CHR4
+ 4'b0101: chr_bank_f = cpu_data_in; // CHR5
+ 4'b0110: chr_bank_g = cpu_data_in; // CHR6
+ 4'b0111: chr_bank_h = cpu_data_in; // CHR7
+ 4'b1000: {sram_enabled, map_rom_on_6000, prg_bank_6000[5:0]} = {cpu_data_in[7], ~cpu_data_in[6], cpu_data_in[5:0]}; // PRG0
+ 4'b1001: prg_bank_a[5:0] = cpu_data_in[5:0]; // PRG1
+ 4'b1010: prg_bank_b[5:0] = cpu_data_in[5:0]; // PRG2
+ 4'b1011: prg_bank_c[5:0] = cpu_data_in[5:0]; // PRG3
+ 4'b1100: mirroring[1:0] = cpu_data_in[1:0]; // mirroring
+ 4'b1101: begin
+ irq_cpu_control[1:0] = {cpu_data_in[7], cpu_data_in[0]}; // IRQ control
+ irq_cpu_out = 0; // ack
+ end
+ 4'b1110: irq_cpu_value[7:0] = cpu_data_in; // IRQ low
+ 4'b1111: irq_cpu_value[15:8] = cpu_data_in; // IRQ high
+ endcase
+ end
+ end
+
+ // Mapper #32 - IREM G-101
+ if (USE_MAPPER_032 && mapper == 5'b11010)
+ begin
+ case (cpu_addr_in[13:12])
+ 2'b00: prg_bank_a[5:0] = cpu_data_in[5:0]; // PRG0
+ 2'b01: {prg_mode[0], mirroring} = {cpu_data_in[1], 1'b0, cpu_data_in[0]}; // PRG mode, mirroring
+ 2'b10: prg_bank_b[5:0] = cpu_data_in[5:0]; // PRG1
+ 2'b11: begin
+ case (cpu_addr_in[2:0]) // CHR regs
+ 3'b000: chr_bank_a = cpu_data_in;
+ 3'b001: chr_bank_b = cpu_data_in;
+ 3'b010: chr_bank_c = cpu_data_in;
+ 3'b011: chr_bank_d = cpu_data_in;
+ 3'b100: chr_bank_e = cpu_data_in;
+ 3'b101: chr_bank_f = cpu_data_in;
+ 3'b110: chr_bank_g = cpu_data_in;
+ 3'b111: chr_bank_h = cpu_data_in;
+ endcase
+ end
+ endcase
+ end
+ end // romsel
+ end // write
+
+ // for MMC5
+ if (USE_MAPPER_005 && mapper == 5'b01111 && romsel && cpu_addr_in[14:0] == 15'h5204) // write or read
+ irq_scanline2_clear = 1;
+
+ // some IRQ stuff
+ if (irq_scanline_reload_clear)
+ irq_scanline_reload = 0;
+ if (irq_scanline2_clear && ~irq_scanline2_out)
+ irq_scanline2_clear = 0;
+ end
+
+ // Fire scanline IRQ if counter is zero
+ // BUT doesn't fire it when it's zero end reenabled
+ always @ (*)
+ begin
+ if (!irq_scanline_enabled)
+ begin
+ irq_scanline_ready = 0;
+ irq_scanline_out = 0;
+ end else if (irq_scanline_enabled && !irq_scanline_value)
+ irq_scanline_ready = 1;
+ else if (irq_scanline_ready && irq_scanline_value)
+ irq_scanline_out = 1;
+ end
+
+ // IRQ counter
+ always @ (posedge ppu_addr_in[12])
+ begin
+ if (a12_low_time == 3)
+ begin
+ if ((irq_scanline_reload && !irq_scanline_reload_clear) || (irq_scanline_counter == 0))
+ begin
+ irq_scanline_counter = irq_scanline_latch;
+ if (irq_scanline_reload) irq_scanline_reload_clear = 1;
+ end else
+ irq_scanline_counter = irq_scanline_counter - 1'b1;
+ if (irq_scanline_counter == 0 && irq_scanline_enabled)
+ irq_scanline_value = 1;
+ else
+ irq_scanline_value = 0;
+ end
+ if (!irq_scanline_reload) irq_scanline_reload_clear = 0;
+ end
+
+ // A12 must be low for 3 rises of M2
+ always @ (posedge m2, posedge ppu_addr_in[12])
+ begin
+ if (ppu_addr_in[12])
+ a12_low_time = 0;
+ else if (a12_low_time < 3)
+ a12_low_time = a12_low_time + 1'b1;
+ end
+
+ // V-blank detector
+ always @ (negedge m2, negedge ppu_rd_in)
+ begin
+ if (~ppu_rd_in)
+ begin
+ ppu_rd_hi_time = 0;
+ if (new_screen_clear) new_screen = 0;
+ end else if (ppu_rd_hi_time < 4'b1111)
+ begin
+ ppu_rd_hi_time = ppu_rd_hi_time + 1'b1;
+ end else new_screen = 1;
+ end
+
+ // Scanline counter
+ always @ (negedge ppu_rd_in)
+ begin
+ if (irq_scanline2_clear) irq_scanline2_out = 0;
+ if (~new_screen && new_screen_clear) new_screen_clear = 0;
+ if (new_screen & ~new_screen_clear)
+ begin
+ scanline = 0;
+ new_screen_clear = 1;
+ ppu_mapper_163_latch = 0;
+ end else
+ if (ppu_addr_in[13:12] == 2'b10)
+ begin
+ if (ppu_nt_read_count < 3)
+ begin
+ ppu_nt_read_count = ppu_nt_read_count + 1'b1;
+ end else begin
+ scanline = scanline + 1'b1;
+ if (irq_scanline2_enabled && scanline == irq_scanline2_line+1)
+ irq_scanline2_out = 1;
+ if (scanline == 129)
+ ppu_mapper_163_latch = 1;
+ end
+ end else ppu_nt_read_count = 0;
+ end
+
+ // for MMC2/MMC4
+ always @ (negedge ppu_rd_in)
+ begin
+ if (USE_MAPPER_009_010)
+ begin
+ if (ppu_addr_in[13:3] == 11'b00111111011) ppu_latch0 = 0;
+ if (ppu_addr_in[13:3] == 11'b00111111101) ppu_latch0 = 1;
+ if (ppu_addr_in[13:3] == 11'b01111111011) ppu_latch1 = 0;
+ if (ppu_addr_in[13:3] == 11'b01111111101) ppu_latch1 = 1;
+ end
+ end
+
\ No newline at end of file
diff --git a/schematics/components.txt b/schematics/components.txt
index 2609917..5a00989 100644
--- a/schematics/components.txt
+++ b/schematics/components.txt
@@ -1,37 +1,37 @@
-U1: EPM1270T144
-U2: LD1117S33
-U3: S29GL01GP, S29GL512P, S29GL256P, S29GL512N or S29GL256N (depending on capacity) in TSOP package
-U4: UT62256CSC
-U5: K6F2008T2E or K6F2008V2E
-U6: SN74ALVC164245DGGR
-U7: SN74ALVC164245DGGR
-U8: SN74LVC8T245PWR
-U9: SN74LVC8T245PWR
-C1: 0.1uF
-C2: 4.7uF
-C3: 0.1uF
-C4: 0.1uF
-C5: 0.1uF
-C6: 0.1uF
-C7: 0.1uF
-C8: 0.1uF
-C9: 0.1uF
-C10: 0.1uF
-C11: 470pF
-C12: 22pF
-C13: 22pF
-D1: DIODE
-D2: DIODE
-D3: DIODE
-R1: 100K
-R2: 2.2K
-R3: 100K
-R4: 2.2K
-R5: 100
-R6: 100
-R7: 100
-R8: 100
-R9: 100
-R10: 200
-R11: 200
-B1: BS-5 CR2032 or just CR2032
+U1: EPM1270T144
+U2: LD1117S33
+U3: S29GL01GP, S29GL512P, S29GL256P, S29GL512N or S29GL256N (depending on capacity) in TSOP package
+U4: UT62256CSC
+U5: K6F2008T2E or K6F2008V2E
+U6: SN74ALVC164245DGGR
+U7: SN74ALVC164245DGGR
+U8: SN74LVC8T245PWR
+U9: SN74LVC8T245PWR
+C1: 0.1uF
+C2: 4.7uF
+C3: 0.1uF
+C4: 0.1uF
+C5: 0.1uF
+C6: 0.1uF
+C7: 0.1uF
+C8: 0.1uF
+C9: 0.1uF
+C10: 0.1uF
+C11: 470pF
+C12: 22pF
+C13: 22pF
+D1: DIODE
+D2: DIODE
+D3: DIODE
+R1: 100K
+R2: 2.2K
+R3: 100K
+R4: 2.2K
+R5: 100
+R6: 100
+R7: 100
+R8: 100
+R9: 100
+R10: 200
+R11: 200
+B1: BS-5 CR2032 or just CR2032