From dc67a77a15b197e31cc48d568dd42a7810b3cd90 Mon Sep 17 00:00:00 2001 From: Alexey 'Cluster' Avdyukhin Date: Tue, 15 Sep 2020 11:22:47 +0300 Subject: Fixed merge conflicts --- CoolGirl_rev3.x/CoolGirl.qsf | 33 --------------------------------- CoolGirl_rev5.x/CoolGirl.qsf | 17 +---------------- CoolGirl_rev5.x/CoolGirl.v | 8 +++----- 3 files changed, 4 insertions(+), 54 deletions(-) diff --git a/CoolGirl_rev3.x/CoolGirl.qsf b/CoolGirl_rev3.x/CoolGirl.qsf index a87d14a..b201198 100644 --- a/CoolGirl_rev3.x/CoolGirl.qsf +++ b/CoolGirl_rev3.x/CoolGirl.qsf @@ -128,38 +128,6 @@ set_location_assignment PIN_30 -to sram_addr_out[13] set_location_assignment PIN_11 -to ppu_addr_in[9] set_location_assignment PIN_89 -to m2 set_location_assignment PIN_4 -to ppu_addr_out[13] -<<<<<<< HEAD:CoolGirl_rev5.x/CoolGirl.qsf -set_location_assignment PIN_28 -to sram_oe -set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR" -set_location_assignment PIN_93 -to cpu_shifers_oe -set_location_assignment PIN_2 -to ppu_ce2_out -set_location_assignment PIN_20 -to ppu_rd_in -set_location_assignment PIN_31 -to sram_addr_out[14] -set_location_assignment PIN_75 -to cpu_addr_in[1] -set_location_assignment PIN_94 -to cpu_dir -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cpu_addr_in[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cpu_addr_in[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cpu_data_in[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cpu_data_in[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cpu_data_in[2] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cpu_data_in[3] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cpu_data_in[4] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cpu_data_in[5] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cpu_data_in[6] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cpu_data_in[7] -set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to cpu_rw_in -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cpu_shifers_oe -set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to irq -set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to m2 -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ppu_ciram_ce -set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to ppu_not_a13 -set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to ppu_wr_in -set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to romsel -set_global_assignment -name OPTIMIZATION_MODE BALANCED -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cpu_dir -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ppu_rd_in -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sram_addr_out[14] -======= set_location_assignment PIN_103 -to sram_oe set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR" set_location_assignment PIN_98 -to ppu_not_a13_out @@ -172,7 +140,6 @@ set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to ppu_wr_in set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to romsel set_global_assignment -name OPTIMIZATION_MODE BALANCED set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to ppu_not_a13 ->>>>>>> 3.x:CoolGirl_rev3.x/CoolGirl.qsf set_global_assignment -name VERILOG_INCLUDE_FILE ../CoolGirl_mappers.vh set_global_assignment -name VERILOG_INCLUDE_FILE ../CoolGirl_config.vh set_global_assignment -name VERILOG_FILE CoolGirl.v diff --git a/CoolGirl_rev5.x/CoolGirl.qsf b/CoolGirl_rev5.x/CoolGirl.qsf index a87d14a..1e0a973 100644 --- a/CoolGirl_rev5.x/CoolGirl.qsf +++ b/CoolGirl_rev5.x/CoolGirl.qsf @@ -128,11 +128,10 @@ set_location_assignment PIN_30 -to sram_addr_out[13] set_location_assignment PIN_11 -to ppu_addr_in[9] set_location_assignment PIN_89 -to m2 set_location_assignment PIN_4 -to ppu_addr_out[13] -<<<<<<< HEAD:CoolGirl_rev5.x/CoolGirl.qsf set_location_assignment PIN_28 -to sram_oe set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR" set_location_assignment PIN_93 -to cpu_shifers_oe -set_location_assignment PIN_2 -to ppu_ce2_out +set_location_assignment PIN_2 -to ppu_addr_out[18] set_location_assignment PIN_20 -to ppu_rd_in set_location_assignment PIN_31 -to sram_addr_out[14] set_location_assignment PIN_75 -to cpu_addr_in[1] @@ -159,20 +158,6 @@ set_global_assignment -name OPTIMIZATION_MODE BALANCED set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cpu_dir set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ppu_rd_in set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sram_addr_out[14] -======= -set_location_assignment PIN_103 -to sram_oe -set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR" -set_location_assignment PIN_98 -to ppu_not_a13_out -set_location_assignment PIN_2 -to ppu_addr_out[18] -set_location_assignment PIN_102 -to cpu_shifers_oe -set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to cpu_rw_in -set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to irq -set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to m2 -set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to ppu_wr_in -set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to romsel -set_global_assignment -name OPTIMIZATION_MODE BALANCED -set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to ppu_not_a13 ->>>>>>> 3.x:CoolGirl_rev3.x/CoolGirl.qsf set_global_assignment -name VERILOG_INCLUDE_FILE ../CoolGirl_mappers.vh set_global_assignment -name VERILOG_INCLUDE_FILE ../CoolGirl_config.vh set_global_assignment -name VERILOG_FILE CoolGirl.v diff --git a/CoolGirl_rev5.x/CoolGirl.v b/CoolGirl_rev5.x/CoolGirl.v index 8613eb6..c093d22 100644 --- a/CoolGirl_rev5.x/CoolGirl.v +++ b/CoolGirl_rev5.x/CoolGirl.v @@ -25,16 +25,14 @@ module CoolGirl # ( input ppu_rd_in, input ppu_wr_in, input [13:3] ppu_addr_in, - output [17:10] ppu_addr_out, + output [18:10] ppu_addr_out, output ppu_rd_out, output ppu_wr_out, output ppu_ciram_a10, inout ppu_not_a13, output ppu_ciram_ce, - output irq, - - output ppu_ce2_out + output irq ); reg [3:0] new_dendy_init = 4'b1111; reg [1:0] new_dendy_init_a13l = 2'b11; @@ -66,7 +64,7 @@ module CoolGirl # ( : 1'b0; // ground it while powering on for new famiclones assign ppu_not_a13 = new_dendy_init_finished ? 1'bZ : 1'b0; // ground it while powering on for new famiclones assign cpu_shifers_oe = 1'b0; - assign ppu_ce2_out = 1'b1; + assign ppu_addr_out[18] = 1'b1; always @ (posedge m2) begin -- cgit v1.2.3