// SPDX-License-Identifier: ISC /* * Copyright (C) 2019 Lorenzo Bianconi */ #include #ifndef __CHECKER__ #define CREATE_TRACE_POINTS #include "mt7615_trace.h" #endif