Welcome to mirror list, hosted at ThFree Co, Russian Federation.

usbd_cdc_ecm.c « Src « CDC_ECM « Class « STM32_USB_Device_Library « ST « Middlewares - github.com/Flipper-Zero/STM32CubeWB.git - Unnamed repository; edit this file 'description' to name the repository.
summaryrefslogtreecommitdiff
blob: edc5d220d029fe777bf1ff40d0e78752f41a849a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
969
970
971
972
973
974
975
976
977
978
979
980
981
982
983
984
985
986
987
988
989
990
991
992
993
994
995
996
997
998
999
1000
1001
1002
1003
1004
1005
1006
1007
1008
1009
1010
1011
1012
1013
1014
1015
1016
1017
1018
1019
1020
1021
1022
1023
1024
1025
1026
1027
1028
1029
1030
1031
1032
1033
1034
1035
1036
1037
1038
1039
1040
1041
1042
1043
1044
1045
1046
1047
1048
1049
1050
1051
1052
1053
1054
1055
1056
1057
1058
1059
1060
1061
1062
1063
1064
1065
1066
1067
1068
1069
1070
1071
1072
1073
1074
1075
1076
1077
1078
1079
1080
1081
1082
1083
1084
1085
1086
1087
1088
1089
1090
1091
/**
  ******************************************************************************
  * @file    usbd_cdc_ecm.c
  * @author  MCD Application Team
  * @brief   This file provides the high layer firmware functions to manage the
  *          following functionalities of the USB CDC_ECM Class:
  *           - Initialization and Configuration of high and low layer
  *           - Enumeration as CDC_ECM Device (and enumeration for each implemented memory interface)
  *           - OUT/IN data transfer
  *           - Command IN transfer (class requests management)
  *           - Error management
  *
  ******************************************************************************
  * @attention
  *
  * <h2><center>&copy; Copyright (c) 2019 STMicroelectronics.
  * All rights reserved.</center></h2>
  *
  * This software component is licensed by ST under Ultimate Liberty license
  * SLA0044, the "License"; You may not use this file except in compliance with
  * the License. You may obtain a copy of the License at:
  *                             www.st.com/SLA0044
  *
  ******************************************************************************
  */

/* BSPDependencies
- "stm32xxxxx_{eval}{discovery}{nucleo_144}.c"
- "stm32xxxxx_{eval}{discovery}_io.c"
EndBSPDependencies */

/* Includes ------------------------------------------------------------------*/
#include "usbd_cdc_ecm.h"
#include "usbd_ctlreq.h"

#ifndef __USBD_CDC_ECM_IF_H
#include "usbd_cdc_ecm_if_template.h"
#endif


/** @addtogroup STM32_USB_DEVICE_LIBRARY
  * @{
  */


/** @defgroup USBD_CDC_ECM
  * @brief usbd core module
  * @{
  */

/** @defgroup USBD_CDC_ECM_Private_TypesDefinitions
  * @{
  */

/**
  * @}
  */


/** @defgroup USBD_CDC_ECM_Private_Defines
  * @{
  */
/**
  * @}
  */

/** @defgroup USBD_CDC_ECM_Private_Macros
  * @{
  */

/**
  * @}
  */


/** @defgroup USBD_CDC_ECM_Private_FunctionPrototypes
  * @{
  */

static uint8_t USBD_CDC_ECM_Init(USBD_HandleTypeDef *pdev, uint8_t cfgidx);
static uint8_t USBD_CDC_ECM_DeInit(USBD_HandleTypeDef *pdev, uint8_t cfgidx);
static uint8_t USBD_CDC_ECM_DataIn(USBD_HandleTypeDef *pdev, uint8_t epnum);
static uint8_t USBD_CDC_ECM_DataOut(USBD_HandleTypeDef *pdev, uint8_t epnum);
static uint8_t USBD_CDC_ECM_EP0_RxReady(USBD_HandleTypeDef *pdev);
static uint8_t USBD_CDC_ECM_Setup(USBD_HandleTypeDef *pdev,
                                  USBD_SetupReqTypedef *req);

static uint8_t *USBD_CDC_ECM_GetFSCfgDesc(uint16_t *length);
static uint8_t *USBD_CDC_ECM_GetHSCfgDesc(uint16_t *length);
static uint8_t *USBD_CDC_ECM_GetOtherSpeedCfgDesc(uint16_t *length);
static uint8_t *USBD_CDC_ECM_GetOtherSpeedCfgDesc(uint16_t *length);

#if (USBD_SUPPORT_USER_STRING_DESC == 1U)
static uint8_t *USBD_CDC_ECM_USRStringDescriptor(USBD_HandleTypeDef *pdev,
                                                 uint8_t index, uint16_t *length);
#endif

uint8_t *USBD_CDC_ECM_GetDeviceQualifierDescriptor(uint16_t *length);

/* USB Standard Device Descriptor */
__ALIGN_BEGIN static uint8_t USBD_CDC_ECM_DeviceQualifierDesc[USB_LEN_DEV_QUALIFIER_DESC] __ALIGN_END =
{
  USB_LEN_DEV_QUALIFIER_DESC,
  USB_DESC_TYPE_DEVICE_QUALIFIER,
  0x00,
  0x02,
  0x00,
  0x00,
  0x00,
  0x40,
  0x01,
  0x00,
};

static uint32_t ConnSpeedTab[2] = {CDC_ECM_CONNECT_SPEED_UPSTREAM,
                                   CDC_ECM_CONNECT_SPEED_DOWNSTREAM};

/**
  * @}
  */

/** @defgroup USBD_CDC_ECM_Private_Variables
  * @{
  */


/* CDC_ECM interface class callbacks structure */
USBD_ClassTypeDef USBD_CDC_ECM =
{
  USBD_CDC_ECM_Init,
  USBD_CDC_ECM_DeInit,
  USBD_CDC_ECM_Setup,
  NULL,                 /* EP0_TxSent, */
  USBD_CDC_ECM_EP0_RxReady,
  USBD_CDC_ECM_DataIn,
  USBD_CDC_ECM_DataOut,
  NULL,
  NULL,
  NULL,
  USBD_CDC_ECM_GetHSCfgDesc,
  USBD_CDC_ECM_GetFSCfgDesc,
  USBD_CDC_ECM_GetOtherSpeedCfgDesc,
  USBD_CDC_ECM_GetDeviceQualifierDescriptor,
#if (USBD_SUPPORT_USER_STRING_DESC == 1U)
  USBD_CDC_ECM_USRStringDescriptor,
#endif
};

/* USB CDC_ECM device Configuration Descriptor */
__ALIGN_BEGIN static uint8_t USBD_CDC_ECM_CfgHSDesc[] __ALIGN_END =
{
  /* Configuration Descriptor */
  0x09,                                     /* bLength: Configuration Descriptor size */
  USB_DESC_TYPE_CONFIGURATION,              /* bDescriptorType: Configuration */
  LOBYTE(CDC_ECM_CONFIG_DESC_SIZ),          /* wTotalLength:no of returned bytes */
  HIBYTE(CDC_ECM_CONFIG_DESC_SIZ),
  0x02,                                     /* bNumInterfaces: 2 interface */
  0x01,                                     /* bConfigurationValue: Configuration value */
  0x00,                                     /* iConfiguration: Index of string descriptor describing the configuration */
  0xC0,                                     /* bmAttributes: self powered */
  0x32,                                     /* MaxPower 0 mA */

  /*---------------------------------------------------------------------------*/

  /* IAD descriptor */
  0x08,                                     /* bLength */
  0x0B,                                     /* bDescriptorType */
  0x00,                                     /* bFirstInterface */
  0x02,                                     /* bInterfaceCount */
  0x02,                                     /* bFunctionClass (Wireless Controller) */
  0x06,                                     /* bFunctionSubClass */
  0x00,                                     /* bFunctionProtocol */
  0x00,                                     /* iFunction */

  /* Interface Descriptor */
  0x09,                                     /* bLength: Interface Descriptor size */
  USB_DESC_TYPE_INTERFACE,                  /* bDescriptorType: Interface descriptor type */
  CDC_ECM_CMD_ITF_NBR,                      /* bInterfaceNumber: Number of Interface */
  0x00,                                     /* bAlternateSetting: Alternate setting */
  0x01,                                     /* bNumEndpoints: One endpoint used */
  0x02,                                     /* bInterfaceClass: Communication Interface Class */
  0x06,                                     /* bInterfaceSubClass: Ethernet Control Model */
  0x00,                                     /* bInterfaceProtocol: No specific protocol required */
  0x00,                                     /* iInterface: */

  /* Header Functional Descriptor */
  0x05,                                     /* bLength: Endpoint Descriptor size */
  0x24,                                     /* bDescriptorType: CS_INTERFACE */
  0x00,                                     /* bDescriptorSubtype: Header functional descriptor */
  0x10,                                     /* bcd CDC_ECM: spec release number: 1.10 */
  0x01,

  /* CDC_ECM Functional Descriptor */
  0x0D,                                     /* bFunctionLength */
  0x24,                                     /* bDescriptorType: CS_INTERFACE */
  0x0F,                                     /* Ethernet Networking functional descriptor subtype  */
  CDC_ECM_MAC_STRING_INDEX,                 /* Device's MAC string index */
  CDC_ECM_ETH_STATS_BYTE3,                  /* Ethernet statistics byte 3 (bitmap) */
  CDC_ECM_ETH_STATS_BYTE2,                  /* Ethernet statistics byte 2 (bitmap) */
  CDC_ECM_ETH_STATS_BYTE1,                  /* Ethernet statistics byte 1 (bitmap) */
  CDC_ECM_ETH_STATS_BYTE0,                  /* Ethernet statistics byte 0 (bitmap) */
  LOBYTE(CDC_ECM_ETH_MAX_SEGSZE),
  HIBYTE(CDC_ECM_ETH_MAX_SEGSZE),           /* wMaxSegmentSize: Ethernet Maximum Segment size, typically 1514 bytes */
  LOBYTE(CDC_ECM_ETH_NBR_MACFILTERS),
  HIBYTE(CDC_ECM_ETH_NBR_MACFILTERS),       /* wNumberMCFilters: the number of multicast filters */
  CDC_ECM_ETH_NBR_PWRFILTERS,               /* bNumberPowerFilters: the number of wakeup power filters */

  /* Union Functional Descriptor */
  0x05,                                     /* bFunctionLength */
  0x24,                                     /* bDescriptorType: CS_INTERFACE */
  0x06,                                     /* bDescriptorSubtype: Union functional descriptor */
  0x00,                                     /* bMasterInterface: Communication class interface */
  0x01,                                     /* bSlaveInterface0: Data Class Interface */

  /* Communication Endpoint Descriptor */
  0x07,                                     /* bLength: Endpoint Descriptor size */
  USB_DESC_TYPE_ENDPOINT,                   /* bDescriptorType: Endpoint */
  CDC_ECM_CMD_EP,                           /* bEndpointAddress */
  0x03,                                     /* bmAttributes: Interrupt */
  LOBYTE(CDC_ECM_CMD_PACKET_SIZE),          /* wMaxPacketSize: */
  HIBYTE(CDC_ECM_CMD_PACKET_SIZE),
  CDC_ECM_HS_BINTERVAL,                     /* bInterval */

  /*----------------------*/

  /* Data class interface descriptor */
  0x09,                                     /* bLength: Endpoint Descriptor size */
  USB_DESC_TYPE_INTERFACE,                  /* bDescriptorType: */
  CDC_ECM_COM_ITF_NBR,                      /* bInterfaceNumber: Number of Interface */
  0x00,                                     /* bAlternateSetting: Alternate setting */
  0x02,                                     /* bNumEndpoints: Two endpoints used */
  0x0A,                                     /* bInterfaceClass: CDC */
  0x00,                                     /* bInterfaceSubClass: */
  0x00,                                     /* bInterfaceProtocol: */
  0x00,                                     /* iInterface: */

  /* Endpoint OUT Descriptor */
  0x07,                                     /* bLength: Endpoint Descriptor size */
  USB_DESC_TYPE_ENDPOINT,                   /* bDescriptorType: Endpoint */
  CDC_ECM_OUT_EP,                           /* bEndpointAddress */
  0x02,                                     /* bmAttributes: Bulk */
  LOBYTE(CDC_ECM_DATA_HS_MAX_PACKET_SIZE),  /* wMaxPacketSize: */
  HIBYTE(CDC_ECM_DATA_HS_MAX_PACKET_SIZE),
  0xFF,                                     /* bInterval: ignore for Bulk transfer */

  /* Endpoint IN Descriptor */
  0x07,                                     /* bLength: Endpoint Descriptor size */
  USB_DESC_TYPE_ENDPOINT,                   /* bDescriptorType: Endpoint */
  CDC_ECM_IN_EP,                            /* bEndpointAddress */
  0x02,                                     /* bmAttributes: Bulk */
  LOBYTE(CDC_ECM_DATA_HS_MAX_PACKET_SIZE),  /* wMaxPacketSize: */
  HIBYTE(CDC_ECM_DATA_HS_MAX_PACKET_SIZE),
  0xFF                                      /* bInterval: ignore for Bulk transfer */
};


/* USB CDC_ECM device Configuration Descriptor */
__ALIGN_BEGIN static uint8_t USBD_CDC_ECM_CfgFSDesc[] __ALIGN_END =
{
  /* Configuration Descriptor */
  0x09,                                     /* bLength: Configuration Descriptor size */
  USB_DESC_TYPE_CONFIGURATION,              /* bDescriptorType: Configuration */
  LOBYTE(CDC_ECM_CONFIG_DESC_SIZ),          /* wTotalLength: Total size of the Config descriptor */
  HIBYTE(CDC_ECM_CONFIG_DESC_SIZ),
  0x02,                                     /* bNumInterfaces: 2 interface */
  0x01,                                     /* bConfigurationValue: Configuration value */
  0x00,                                     /* iConfiguration: Index of string descriptor describing the configuration */
  0xC0,                                     /* bmAttributes: self powered */
  0x32,                                     /* MaxPower 0 mA */

  /*---------------------------------------------------------------------------*/
  /* IAD descriptor */
  0x08,                                     /* bLength */
  0x0B,                                     /* bDescriptorType */
  0x00,                                     /* bFirstInterface */
  0x02,                                     /* bInterfaceCount */
  0x02,                                     /* bFunctionClass (Wireless Controller) */
  0x06,                                     /* bFunctionSubClass */
  0x00,                                     /* bFunctionProtocol */
  0x00,                                     /* iFunction */

  /* Interface Descriptor */
  0x09,                                     /* bLength: Interface Descriptor size */
  USB_DESC_TYPE_INTERFACE,                  /* bDescriptorType: Interface descriptor type */
  CDC_ECM_CMD_ITF_NBR,                      /* bInterfaceNumber: Number of Interface */
  0x00,                                     /* bAlternateSetting: Alternate setting */
  0x01,                                     /* bNumEndpoints: One endpoint used */
  0x02,                                     /* bInterfaceClass: Communication Interface Class */
  0x06,                                     /* bInterfaceSubClass: Ethernet Control Model */
  0x00,                                     /* bInterfaceProtocol: No specific protocol required */
  0x00,                                     /* iInterface: */

  /* Header Functional Descriptor */
  0x05,                                     /* bLength: Endpoint Descriptor size */
  0x24,                                     /* bDescriptorType: CS_INTERFACE */
  0x00,                                     /* bDescriptorSubtype: Header functional descriptor */
  0x10,                                     /* bcd CDC_ECM : spec release number: 1.20 */
  0x01,

  /* Union Functional Descriptor */
  0x05,                                     /* bFunctionLength */
  0x24,                                     /* bDescriptorType: CS_INTERFACE */
  0x06,                                     /* bDescriptorSubtype: Union functional descriptor */
  CDC_ECM_CMD_ITF_NBR,                      /* bMasterInterface: Communication class interface */
  CDC_ECM_COM_ITF_NBR,                      /* bSlaveInterface0: Data Class Interface */

  /* CDC_ECM Functional Descriptor */
  0x0D,                                     /* bFunctionLength */
  0x24,                                     /* bDescriptorType: CS_INTERFACE */
  0x0F,                                     /* Ethernet Networking functional descriptor subtype  */
  CDC_ECM_MAC_STRING_INDEX,                 /* Device's MAC string index */
  CDC_ECM_ETH_STATS_BYTE3,                  /* Ethernet statistics byte 3 (bitmap) */
  CDC_ECM_ETH_STATS_BYTE2,                  /* Ethernet statistics byte 2 (bitmap) */
  CDC_ECM_ETH_STATS_BYTE1,                  /* Ethernet statistics byte 1 (bitmap) */
  CDC_ECM_ETH_STATS_BYTE0,                  /* Ethernet statistics byte 0 (bitmap) */
  LOBYTE(CDC_ECM_ETH_MAX_SEGSZE),
  HIBYTE(CDC_ECM_ETH_MAX_SEGSZE),           /* wMaxSegmentSize: Ethernet Maximum Segment size, typically 1514 bytes */
  LOBYTE(CDC_ECM_ETH_NBR_MACFILTERS),
  HIBYTE(CDC_ECM_ETH_NBR_MACFILTERS),       /* wNumberMCFilters: the number of multicast filters */
  CDC_ECM_ETH_NBR_PWRFILTERS,               /* bNumberPowerFilters: the number of wakeup power filters */


  /* Communication Endpoint Descriptor */
  0x07,                                     /* bLength: Endpoint Descriptor size */
  USB_DESC_TYPE_ENDPOINT,                   /* bDescriptorType: Endpoint */
  CDC_ECM_CMD_EP,                           /* bEndpointAddress */
  0x03,                                     /* bmAttributes: Interrupt */
  LOBYTE(CDC_ECM_CMD_PACKET_SIZE),          /* wMaxPacketSize: */
  HIBYTE(CDC_ECM_CMD_PACKET_SIZE),
  CDC_ECM_FS_BINTERVAL,                     /* bInterval */

  /*----------------------*/

  /* Data class interface descriptor */
  0x09,                                     /* bLength: Endpoint Descriptor size */
  USB_DESC_TYPE_INTERFACE,                  /* bDescriptorType: */
  CDC_ECM_COM_ITF_NBR,                      /* bInterfaceNumber: Number of Interface */
  0x00,                                     /* bAlternateSetting: Alternate setting */
  0x02,                                     /* bNumEndpoints: Two endpoints used */
  0x0A,                                     /* bInterfaceClass: CDC_ECM */
  0x00,                                     /* bInterfaceSubClass: */
  0x00,                                     /* bInterfaceProtocol: */
  0x00,                                     /* iInterface: */

  /* Endpoint OUT Descriptor */
  0x07,                                     /* bLength: Endpoint Descriptor size */
  USB_DESC_TYPE_ENDPOINT,                   /* bDescriptorType: Endpoint */
  CDC_ECM_OUT_EP,                           /* bEndpointAddress */
  0x02,                                     /* bmAttributes: Bulk */
  LOBYTE(CDC_ECM_DATA_FS_MAX_PACKET_SIZE),  /* wMaxPacketSize: */
  HIBYTE(CDC_ECM_DATA_FS_MAX_PACKET_SIZE),
  0xFF,                                     /* bInterval: ignore for Bulk transfer */

  /* Endpoint IN Descriptor */
  0x07,                                     /* bLength: Endpoint Descriptor size */
  USB_DESC_TYPE_ENDPOINT,                   /* bDescriptorType: Endpoint */
  CDC_ECM_IN_EP,                            /* bEndpointAddress */
  0x02,                                     /* bmAttributes: Bulk */
  LOBYTE(CDC_ECM_DATA_FS_MAX_PACKET_SIZE),  /* wMaxPacketSize: */
  HIBYTE(CDC_ECM_DATA_FS_MAX_PACKET_SIZE),
  0xFF                                      /* bInterval: ignore for Bulk transfer */
} ;

__ALIGN_BEGIN static uint8_t USBD_CDC_ECM_OtherSpeedCfgDesc[] __ALIGN_END =
{
  /* Configuration Descriptor */
  0x09,                                     /* bLength: Configuration Descriptor size */
  USB_DESC_TYPE_CONFIGURATION,              /* bDescriptorType: Configuration */
  LOBYTE(CDC_ECM_CONFIG_DESC_SIZ),          /* wTotalLength:no of returned bytes */
  HIBYTE(CDC_ECM_CONFIG_DESC_SIZ),
  0x02,                                     /* bNumInterfaces: 2 interface */
  0x01,                                     /* bConfigurationValue: Configuration value */
  0x04,                                     /* iConfiguration: Index of string descriptor describing the configuration */
  0xC0,                                     /* bmAttributes: self powered */
  0x32,                                     /* MaxPower 0 mA */

  /*--------------------------------------- ------------------------------------*/
  /* IAD descriptor */
  0x08,                                     /* bLength */
  0x0B,                                     /* bDescriptorType */
  0x00,                                     /* bFirstInterface */
  0x02,                                     /* bInterfaceCount */
  0x02,                                     /* bFunctionClass (Wireless Controller) */
  0x06,                                     /* bFunctionSubClass */
  0x00,                                     /* bFunctionProtocol */
  0x00,                                     /* iFunction */

  /* Interface Descriptor */
  0x09,                                     /* bLength: Interface Descriptor size */
  USB_DESC_TYPE_INTERFACE,                  /* bDescriptorType: Interface descriptor type */
  0x00,                                     /* bInterfaceNumber: Number of Interface */
  0x00,                                     /* bAlternateSetting: Alternate setting */
  0x01,                                     /* bNumEndpoints: One endpoint used */
  0x02,                                     /* bInterfaceClass: Communication Interface Class */
  0x06,                                     /* bInterfaceSubClass: Ethernet Control Model */
  0x00,                                     /* bInterfaceProtocol: No specific protocol required */
  0x00,                                     /* iInterface: */

  /* Header Functional Descriptor */
  0x05,                                     /* bLength: Endpoint Descriptor size */
  0x24,                                     /* bDescriptorType: CS_INTERFACE */
  0x00,                                     /* bDescriptorSubtype: Header functional descriptor */
  0x10,                                     /* bcd CDC_ECM : spec release number: 1.20 */
  0x01,

  /* CDC_ECM Functional Descriptor */
  0x0D,                                     /* bFunctionLength */
  0x24,                                     /* bDescriptorType: CS_INTERFACE */
  0x0F,                                     /* Ethernet Networking functional descriptor subtype  */
  CDC_ECM_MAC_STRING_INDEX,                 /* Device's MAC string index */
  CDC_ECM_ETH_STATS_BYTE3,                  /* Ethernet statistics byte 3 (bitmap) */
  CDC_ECM_ETH_STATS_BYTE2,                  /* Ethernet statistics byte 2 (bitmap) */
  CDC_ECM_ETH_STATS_BYTE1,                  /* Ethernet statistics byte 1 (bitmap) */
  CDC_ECM_ETH_STATS_BYTE0,                  /* Ethernet statistics byte 0 (bitmap) */
  LOBYTE(CDC_ECM_ETH_MAX_SEGSZE),
  HIBYTE(CDC_ECM_ETH_MAX_SEGSZE),           /* wMaxSegmentSize: Ethernet Maximum Segment size, typically 1514 bytes */
  LOBYTE(CDC_ECM_ETH_NBR_MACFILTERS),
  HIBYTE(CDC_ECM_ETH_NBR_MACFILTERS),       /* wNumberMCFilters: the number of multicast filters */
  CDC_ECM_ETH_NBR_PWRFILTERS,               /* bNumberPowerFilters: the number of wakeup power filters */

  /* Union Functional Descriptor */
  0x05,                                     /* bFunctionLength */
  0x24,                                     /* bDescriptorType: CS_INTERFACE */
  0x06,                                     /* bDescriptorSubtype: Union functional descriptor */
  0x00,                                     /* bMasterInterface: Communication class interface */
  0x01,                                     /* bSlaveInterface0: Data Class Interface */

  /* Communication Endpoint Descriptor */
  0x07,                                     /* bLength: Endpoint Descriptor size */
  USB_DESC_TYPE_ENDPOINT,                   /* bDescriptorType: Endpoint */
  CDC_ECM_CMD_EP,                           /* bEndpointAddress */
  0x03,                                     /* bmAttributes: Interrupt */
  LOBYTE(CDC_ECM_CMD_PACKET_SIZE),          /* wMaxPacketSize: */
  HIBYTE(CDC_ECM_CMD_PACKET_SIZE),
  CDC_ECM_FS_BINTERVAL,                     /* bInterval */

  /*----------------------*/

  /* Data class interface descriptor */
  0x09,                                     /* bLength: Endpoint Descriptor size */
  USB_DESC_TYPE_INTERFACE,                  /* bDescriptorType: */
  0x01,                                     /* bInterfaceNumber: Number of Interface */
  0x00,                                     /* bAlternateSetting: Alternate setting */
  0x02,                                     /* bNumEndpoints: Two endpoints used */
  0x0A,                                     /* bInterfaceClass: CDC */
  0x00,                                     /* bInterfaceSubClass: */
  0x00,                                     /* bInterfaceProtocol: */
  0x00,                                     /* iInterface: */

  /* Endpoint OUT Descriptor */
  0x07,                                     /* bLength: Endpoint Descriptor size */
  USB_DESC_TYPE_ENDPOINT,                   /* bDescriptorType: Endpoint */
  CDC_ECM_OUT_EP,                           /* bEndpointAddress */
  0x02,                                     /* bmAttributes: Bulk */
  0x40,                                     /* wMaxPacketSize: */
  0x00,
  0xFF,                                     /* bInterval: ignore for Bulk transfer */

  /* Endpoint IN Descriptor */
  0x07,                                     /* bLength: Endpoint Descriptor size */
  USB_DESC_TYPE_ENDPOINT,                   /* bDescriptorType: Endpoint */
  CDC_ECM_IN_EP,                            /* bEndpointAddress */
  0x02,                                     /* bmAttributes: Bulk */
  0x40,                                     /* wMaxPacketSize: */
  0x00,
  0xFF                                      /* bInterval: ignore for Bulk transfer */
};

/**
  * @}
  */

/** @defgroup USBD_CDC_ECM_Private_Functions
  * @{
  */

/**
  * @brief  USBD_CDC_ECM_Init
  *         Initialize the CDC_ECM interface
  * @param  pdev: device instance
  * @param  cfgidx: Configuration index
  * @retval status
  */
static uint8_t USBD_CDC_ECM_Init(USBD_HandleTypeDef *pdev, uint8_t cfgidx)
{
  UNUSED(cfgidx);

  USBD_CDC_ECM_HandleTypeDef *hcdc;

  hcdc = USBD_malloc(sizeof(USBD_CDC_ECM_HandleTypeDef));

  if (hcdc == NULL)
  {
    pdev->pClassData = NULL;
    return (uint8_t)USBD_EMEM;
  }

  pdev->pClassData = (void *)hcdc;

  if (pdev->dev_speed == USBD_SPEED_HIGH)
  {
    /* Open EP IN */
    (void)USBD_LL_OpenEP(pdev, CDC_ECM_IN_EP, USBD_EP_TYPE_BULK,
                         CDC_ECM_DATA_HS_IN_PACKET_SIZE);

    pdev->ep_in[CDC_ECM_IN_EP & 0xFU].is_used = 1U;

    /* Open EP OUT */
    (void)USBD_LL_OpenEP(pdev, CDC_ECM_OUT_EP, USBD_EP_TYPE_BULK,
                         CDC_ECM_DATA_HS_OUT_PACKET_SIZE);

    pdev->ep_out[CDC_ECM_OUT_EP & 0xFU].is_used = 1U;

    /* Set bInterval for CDC ECM CMD Endpoint */
    pdev->ep_in[CDC_ECM_CMD_EP & 0xFU].bInterval = CDC_ECM_HS_BINTERVAL;
  }
  else
  {
    /* Open EP IN */
    (void)USBD_LL_OpenEP(pdev, CDC_ECM_IN_EP, USBD_EP_TYPE_BULK,
                         CDC_ECM_DATA_FS_IN_PACKET_SIZE);

    pdev->ep_in[CDC_ECM_IN_EP & 0xFU].is_used = 1U;

    /* Open EP OUT */
    (void)USBD_LL_OpenEP(pdev, CDC_ECM_OUT_EP, USBD_EP_TYPE_BULK,
                         CDC_ECM_DATA_FS_OUT_PACKET_SIZE);

    pdev->ep_out[CDC_ECM_OUT_EP & 0xFU].is_used = 1U;

    /* Set bInterval for CDC ECM CMD Endpoint */
    pdev->ep_in[CDC_ECM_CMD_EP & 0xFU].bInterval = CDC_ECM_FS_BINTERVAL;
  }

  /* Open Command IN EP */
  (void)USBD_LL_OpenEP(pdev, CDC_ECM_CMD_EP, USBD_EP_TYPE_INTR, CDC_ECM_CMD_PACKET_SIZE);
  pdev->ep_in[CDC_ECM_CMD_EP & 0xFU].is_used = 1U;

  /* Init  physical Interface components */
  ((USBD_CDC_ECM_ItfTypeDef *)pdev->pUserData)->Init();

  /* Init Xfer states */
  hcdc->TxState = 0U;
  hcdc->RxState = 0U;
  hcdc->RxLength = 0U;
  hcdc->TxLength = 0U;
  hcdc->LinkStatus = 0U;
  hcdc->NotificationStatus = 0U;
  hcdc->MaxPcktLen = (pdev->dev_speed == USBD_SPEED_HIGH) ? CDC_ECM_DATA_HS_MAX_PACKET_SIZE : CDC_ECM_DATA_FS_MAX_PACKET_SIZE;

  /* Prepare Out endpoint to receive next packet */
  (void)USBD_LL_PrepareReceive(pdev, CDC_ECM_OUT_EP, hcdc->RxBuffer, hcdc->MaxPcktLen);

  return (uint8_t)USBD_OK;
}

/**
  * @brief  USBD_CDC_ECM_DeInit
  *         DeInitialize the CDC_ECM layer
  * @param  pdev: device instance
  * @param  cfgidx: Configuration index
  * @retval status
  */
static uint8_t USBD_CDC_ECM_DeInit(USBD_HandleTypeDef *pdev, uint8_t cfgidx)
{
  UNUSED(cfgidx);

  /* Close EP IN */
  (void)USBD_LL_CloseEP(pdev, CDC_ECM_IN_EP);
  pdev->ep_in[CDC_ECM_IN_EP & 0xFU].is_used = 0U;

  /* Close EP OUT */
  (void)USBD_LL_CloseEP(pdev, CDC_ECM_OUT_EP);
  pdev->ep_out[CDC_ECM_OUT_EP & 0xFU].is_used = 0U;

  /* Close Command IN EP */
  (void)USBD_LL_CloseEP(pdev, CDC_ECM_CMD_EP);
  pdev->ep_in[CDC_ECM_CMD_EP & 0xFU].is_used = 0U;
  pdev->ep_in[CDC_ECM_CMD_EP & 0xFU].bInterval = 0U;

  /* DeInit  physical Interface components */
  if (pdev->pClassData != NULL)
  {
    ((USBD_CDC_ECM_ItfTypeDef *)pdev->pUserData)->DeInit();
    USBD_free(pdev->pClassData);
    pdev->pClassData = NULL;
  }

  return (uint8_t)USBD_OK;
}

/**
  * @brief  USBD_CDC_ECM_Setup
  *         Handle the CDC_ECM specific requests
  * @param  pdev: instance
  * @param  req: usb requests
  * @retval status
  */
static uint8_t USBD_CDC_ECM_Setup(USBD_HandleTypeDef *pdev,
                                  USBD_SetupReqTypedef *req)
{
  USBD_CDC_ECM_HandleTypeDef *hcdc = (USBD_CDC_ECM_HandleTypeDef *) pdev->pClassData;
  USBD_CDC_ECM_ItfTypeDef *EcmInterface = (USBD_CDC_ECM_ItfTypeDef *)pdev->pUserData;
  USBD_StatusTypeDef ret = USBD_OK;
  uint8_t ifalt = 0U;
  uint16_t status_info = 0U;

  switch (req->bmRequest & USB_REQ_TYPE_MASK)
  {
  case USB_REQ_TYPE_CLASS :
    if (req->wLength != 0U)
    {
      if ((req->bmRequest & 0x80U) != 0U)
      {
        EcmInterface->Control(req->bRequest,
                              (uint8_t *)hcdc->data, req->wLength);

        (void)USBD_CtlSendData(pdev, (uint8_t *)hcdc->data, req->wLength);
      }
      else
      {
        hcdc->CmdOpCode = req->bRequest;
        hcdc->CmdLength = (uint8_t)req->wLength;

        (void)USBD_CtlPrepareRx(pdev, (uint8_t *)hcdc->data, req->wLength);
      }
    }
    else
    {
      EcmInterface->Control(req->bRequest, (uint8_t *)req, 0U);
    }
    break;

  case USB_REQ_TYPE_STANDARD:
    switch (req->bRequest)
    {
    case USB_REQ_GET_STATUS:
      if (pdev->dev_state == USBD_STATE_CONFIGURED)
      {
        (void)USBD_CtlSendData(pdev, (uint8_t *)&status_info, 2U);
      }
      else
      {
        USBD_CtlError(pdev, req);
        ret = USBD_FAIL;
      }
      break;

    case USB_REQ_GET_INTERFACE:
      if (pdev->dev_state == USBD_STATE_CONFIGURED)
      {
        (void)USBD_CtlSendData(pdev, &ifalt, 1U);
      }
      else
      {
        USBD_CtlError(pdev, req);
        ret = USBD_FAIL;
      }
      break;

    case USB_REQ_SET_INTERFACE:
      if (pdev->dev_state != USBD_STATE_CONFIGURED)
      {
        USBD_CtlError(pdev, req);
        ret = USBD_FAIL;
      }
      break;

    case USB_REQ_CLEAR_FEATURE:
      break;

    default:
      USBD_CtlError(pdev, req);
      ret = USBD_FAIL;
      break;
    }
    break;

  default:
    USBD_CtlError(pdev, req);
    ret = USBD_FAIL;
    break;
  }

  return (uint8_t)ret;
}

/**
  * @brief  USBD_CDC_ECM_DataIn
  *         Data sent on non-control IN endpoint
  * @param  pdev: device instance
  * @param  epnum: endpoint number
  * @retval status
  */
static uint8_t USBD_CDC_ECM_DataIn(USBD_HandleTypeDef *pdev, uint8_t epnum)
{
  USBD_CDC_ECM_HandleTypeDef *hcdc = (USBD_CDC_ECM_HandleTypeDef *)pdev->pClassData;
  PCD_HandleTypeDef *hpcd = pdev->pData;

  if (pdev->pClassData == NULL)
  {
    return (uint8_t)USBD_FAIL;
  }

  if (epnum == (CDC_ECM_IN_EP & 0x7FU))
  {
    if ((pdev->ep_in[epnum].total_length > 0U) &&
        ((pdev->ep_in[epnum].total_length % hpcd->IN_ep[epnum].maxpacket) == 0U))
    {
      /* Update the packet total length */
      pdev->ep_in[epnum].total_length = 0U;

      /* Send ZLP */
      (void)USBD_LL_Transmit(pdev, epnum, NULL, 0U);
    }
    else
    {
      hcdc->TxState = 0U;
      ((USBD_CDC_ECM_ItfTypeDef *)pdev->pUserData)->TransmitCplt(hcdc->TxBuffer, &hcdc->TxLength, epnum);
    }
  }
  else if (epnum == (CDC_ECM_CMD_EP & 0x7FU))
  {
    if (hcdc->NotificationStatus != 0U)
    {
      (void)USBD_CDC_ECM_SendNotification(pdev, CONNECTION_SPEED_CHANGE,
                                          0U, (uint8_t *)ConnSpeedTab);

      hcdc->NotificationStatus = 0U;
    }
  }
  else
  {
    return (uint8_t)USBD_FAIL;
  }

  return (uint8_t)USBD_OK;
}

/**
  * @brief  USBD_CDC_ECM_DataOut
  *         Data received on non-control Out endpoint
  * @param  pdev: device instance
  * @param  epnum: endpoint number
  * @retval status
  */
static uint8_t USBD_CDC_ECM_DataOut(USBD_HandleTypeDef *pdev, uint8_t epnum)
{
  USBD_CDC_ECM_HandleTypeDef *hcdc = (USBD_CDC_ECM_HandleTypeDef *)pdev->pClassData;
  uint32_t CurrPcktLen;

  if (pdev->pClassData == NULL)
  {
    return (uint8_t)USBD_FAIL;
  }

  if (epnum == CDC_ECM_OUT_EP)
  {
    /* Get the received data length */
    CurrPcktLen = USBD_LL_GetRxDataSize(pdev, epnum);

    /* Increment the frame length */
    hcdc->RxLength += CurrPcktLen;

    /* If the buffer size is less than max packet size: it is the last packet in current frame */
    if ((CurrPcktLen < hcdc->MaxPcktLen) || (hcdc->RxLength >= CDC_ECM_ETH_MAX_SEGSZE))
    {
      /* USB data will be immediately processed, this allow next USB traffic being
      NACKed till the end of the application Xfer */

      /* Process data by application (ie. copy to app buffer or notify user)
      hcdc->RxLength must be reset to zero at the end of the call of this function */
      ((USBD_CDC_ECM_ItfTypeDef *)pdev->pUserData)->Receive(hcdc->RxBuffer, &hcdc->RxLength);
    }
    else
    {
      /* Prepare Out endpoint to receive next packet in current/new frame */
      (void)USBD_LL_PrepareReceive(pdev, CDC_ECM_OUT_EP,
                                   (uint8_t *)(hcdc->RxBuffer + hcdc->RxLength),
                                   hcdc->MaxPcktLen);
    }
  }
  else
  {
    return (uint8_t)USBD_FAIL;
  }

  return (uint8_t)USBD_OK;
}

/**
  * @brief  USBD_CDC_ECM_EP0_RxReady
  *         Handle EP0 Rx Ready event
  * @param  pdev: device instance
  * @retval status
  */
static uint8_t USBD_CDC_ECM_EP0_RxReady(USBD_HandleTypeDef *pdev)
{
  USBD_CDC_ECM_HandleTypeDef *hcdc = (USBD_CDC_ECM_HandleTypeDef *)pdev->pClassData;

  if ((pdev->pUserData != NULL) && (hcdc->CmdOpCode != 0xFFU))
  {
    ((USBD_CDC_ECM_ItfTypeDef *)pdev->pUserData)->Control(hcdc->CmdOpCode,
                                                          (uint8_t *)hcdc->data,
                                                          (uint16_t)hcdc->CmdLength);
    hcdc->CmdOpCode = 0xFFU;

  }
  return (uint8_t)USBD_OK;
}

/**
  * @brief  USBD_CDC_ECM_GetFSCfgDesc
  *         Return configuration descriptor
  * @param  speed : current device speed
  * @param  length : pointer data length
  * @retval pointer to descriptor buffer
  */
static uint8_t *USBD_CDC_ECM_GetFSCfgDesc(uint16_t *length)
{
  *length = (uint16_t)sizeof(USBD_CDC_ECM_CfgFSDesc);

  return USBD_CDC_ECM_CfgFSDesc;
}

/**
  * @brief  USBD_CDC_ECM_GetHSCfgDesc
  *         Return configuration descriptor
  * @param  speed : current device speed
  * @param  length : pointer data length
  * @retval pointer to descriptor buffer
  */
static uint8_t *USBD_CDC_ECM_GetHSCfgDesc(uint16_t *length)
{
  *length = (uint16_t) sizeof(USBD_CDC_ECM_CfgHSDesc);

  return USBD_CDC_ECM_CfgHSDesc;
}

/**
  * @brief  USBD_CDC_ECM_GetCfgDesc
  *         Return configuration descriptor
  * @param  speed : current device speed
  * @param  length : pointer data length
  * @retval pointer to descriptor buffer
  */
static uint8_t *USBD_CDC_ECM_GetOtherSpeedCfgDesc(uint16_t *length)
{
  *length = (uint16_t)sizeof(USBD_CDC_ECM_OtherSpeedCfgDesc);

  return USBD_CDC_ECM_OtherSpeedCfgDesc;
}

/**
  * @brief  DeviceQualifierDescriptor
  *         return Device Qualifier descriptor
  * @param  length : pointer data length
  * @retval pointer to descriptor buffer
  */
uint8_t *USBD_CDC_ECM_GetDeviceQualifierDescriptor(uint16_t *length)
{
  *length = (uint16_t)sizeof(USBD_CDC_ECM_DeviceQualifierDesc);

  return USBD_CDC_ECM_DeviceQualifierDesc;
}

/**
  * @brief  USBD_CDC_ECM_RegisterInterface
  * @param  pdev: device instance
  * @param  fops: CD  Interface callback
  * @retval status
  */
uint8_t USBD_CDC_ECM_RegisterInterface(USBD_HandleTypeDef *pdev,
                                       USBD_CDC_ECM_ItfTypeDef *fops)
{
  if (fops == NULL)
  {
    return (uint8_t)USBD_FAIL;
  }

  pdev->pUserData = fops;

  return (uint8_t)USBD_OK;
}


/**
  * @brief  USBD_CDC_ECM_USRStringDescriptor
  *         Manages the transfer of user string descriptors.
  * @param  speed : current device speed
  * @param  index: descriptor index
  * @param  length : pointer data length
  * @retval pointer to the descriptor table or NULL if the descriptor is not supported.
  */
#if (USBD_SUPPORT_USER_STRING_DESC == 1U)
static uint8_t *USBD_CDC_ECM_USRStringDescriptor(USBD_HandleTypeDef *pdev, uint8_t index, uint16_t *length)
{
  static uint8_t USBD_StrDesc[255];

  /* Check if the requested string interface is supported */
  if (index == CDC_ECM_MAC_STRING_INDEX)
  {
    USBD_GetString((uint8_t *)((USBD_CDC_ECM_ItfTypeDef *)pdev->pUserData)->pStrDesc, USBD_StrDesc, length);
    return USBD_StrDesc;
  }
  /* Not supported Interface Descriptor index */
  else
  {
    return NULL;
  }
}
#endif

/**
  * @brief  USBD_CDC_ECM_SetTxBuffer
  * @param  pdev: device instance
  * @param  pbuff: Tx Buffer
  * @retval status
  */
uint8_t USBD_CDC_ECM_SetTxBuffer(USBD_HandleTypeDef *pdev, uint8_t *pbuff, uint32_t length)
{
  USBD_CDC_ECM_HandleTypeDef *hcdc = (USBD_CDC_ECM_HandleTypeDef *)pdev->pClassData;

  hcdc->TxBuffer = pbuff;
  hcdc->TxLength = length;

  return (uint8_t)USBD_OK;
}


/**
  * @brief  USBD_CDC_ECM_SetRxBuffer
  * @param  pdev: device instance
  * @param  pbuff: Rx Buffer
  * @retval status
  */
uint8_t USBD_CDC_ECM_SetRxBuffer(USBD_HandleTypeDef *pdev, uint8_t *pbuff)
{
  USBD_CDC_ECM_HandleTypeDef *hcdc = (USBD_CDC_ECM_HandleTypeDef *)pdev->pClassData;

  hcdc->RxBuffer = pbuff;

  return (uint8_t)USBD_OK;
}

/**
  * @brief  USBD_CDC_ECM_TransmitPacket
  *         Transmit packet on IN endpoint
  * @param  pdev: device instance
  * @retval status
  */
uint8_t USBD_CDC_ECM_TransmitPacket(USBD_HandleTypeDef *pdev)
{
  USBD_CDC_ECM_HandleTypeDef *hcdc = (USBD_CDC_ECM_HandleTypeDef *)pdev->pClassData;
  USBD_StatusTypeDef ret = USBD_BUSY;

  if (pdev->pClassData == NULL)
  {
    return (uint8_t)USBD_FAIL;
  }

  if (hcdc->TxState == 0U)
  {
    /* Tx Transfer in progress */
    hcdc->TxState = 1U;

    /* Update the packet total length */
    pdev->ep_in[CDC_ECM_IN_EP & 0xFU].total_length = hcdc->TxLength;

    /* Transmit next packet */
    (void)USBD_LL_Transmit(pdev, CDC_ECM_IN_EP, hcdc->TxBuffer, hcdc->TxLength);

     ret = USBD_OK;
  }

  return (uint8_t)ret;
}


/**
  * @brief  USBD_CDC_ECM_ReceivePacket
  *         prepare OUT Endpoint for reception
  * @param  pdev: device instance
  * @retval status
  */
uint8_t USBD_CDC_ECM_ReceivePacket(USBD_HandleTypeDef *pdev)
{
  USBD_CDC_ECM_HandleTypeDef *hcdc = (USBD_CDC_ECM_HandleTypeDef *)pdev->pClassData;

  if (pdev->pClassData == NULL)
  {
    return (uint8_t)USBD_FAIL;
  }

  /* Prepare Out endpoint to receive next packet */
  (void)USBD_LL_PrepareReceive(pdev, CDC_ECM_OUT_EP,hcdc->RxBuffer, hcdc->MaxPcktLen);

  return (uint8_t)USBD_OK;
}

/**
  * @brief  USBD_CDC_ECM_SendNotification
  *         Transmit Notification packet on CMD IN interrupt endpoint
  * @param  pdev: device instance
  *         Notif: value of the notification type (from CDC_ECM_Notification_TypeDef enumeration list)
  *         bVal: value of the notification switch (ie. 0x00 or 0x01 for Network Connection notification)
  *         pData: pointer to data buffer (ie. upstream and downstream connection speed values)
  * @retval status
  */
uint8_t USBD_CDC_ECM_SendNotification(USBD_HandleTypeDef *pdev,
                                      USBD_CDC_ECM_NotifCodeTypeDef Notif,
                                      uint16_t bVal, uint8_t *pData)
{
  uint32_t Idx;
  uint32_t ReqSize = 0U;
  USBD_CDC_ECM_HandleTypeDef *hcdc = (USBD_CDC_ECM_HandleTypeDef *)pdev->pClassData;
  USBD_StatusTypeDef ret = USBD_OK;

  /* Initialize the request fields */
  (hcdc->Req).bmRequest = CDC_ECM_BMREQUEST_TYPE_ECM;
  (hcdc->Req).bRequest = (uint8_t)Notif;

  switch (Notif)
  {
    case NETWORK_CONNECTION:
      (hcdc->Req).wValue = bVal;
      (hcdc->Req).wIndex = CDC_ECM_CMD_ITF_NBR;
      (hcdc->Req).wLength = 0U;

      for (Idx = 0U; Idx < 8U; Idx++)
      {
        (hcdc->Req).data[Idx] = 0U;
      }
      ReqSize = 8U;
      break;

    case RESPONSE_AVAILABLE:
      (hcdc->Req).wValue = 0U;
      (hcdc->Req).wIndex = CDC_ECM_CMD_ITF_NBR;
      (hcdc->Req).wLength = 0U;
      for (Idx = 0U; Idx < 8U; Idx++)
      {
        (hcdc->Req).data[Idx] = 0U;
      }
      ReqSize = 8U;
      break;

    case CONNECTION_SPEED_CHANGE:
      (hcdc->Req).wValue = 0U;
      (hcdc->Req).wIndex = CDC_ECM_CMD_ITF_NBR;
      (hcdc->Req).wLength = 0x0008U;
      ReqSize = 16U;

      /* Check pointer to data buffer */
      if (pData != NULL)
      {
        for (Idx = 0U; Idx < 8U; Idx++)
        {
          (hcdc->Req).data[Idx] = pData[Idx];
        }
      }
      break;

    default:
      ret = USBD_FAIL;
      break;
  }

  /* Transmit notification packet */
  if (ReqSize != 0U)
  {
    (void)USBD_LL_Transmit(pdev, CDC_ECM_CMD_EP, (uint8_t *)&(hcdc->Req), ReqSize);
  }

  return (uint8_t)ret;
}


/**
  * @}
  */

/**
  * @}
  */

/**
  * @}
  */

/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/