Welcome to mirror list, hosted at ThFree Co, Russian Federation.

github.com/coolgirl-multicart/coolgirl-famicom-multicart.git - Unnamed repository; edit this file 'description' to name the repository.
summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorAlexey 'Cluster' Avdyukhin <clusterrr@clusterrr.com>2020-09-15 10:58:00 +0300
committerAlexey 'Cluster' Avdyukhin <clusterrr@clusterrr.com>2020-09-15 10:58:00 +0300
commit3d190ffd939ea82c14458cbd8e54e0cfb2c07b3d (patch)
tree224fa2d420286006c7a749719b41e3e8fdcdbee9
parentd9acc8b7602670de1ec6377ff68e01d1fcaa7d6b (diff)
Moving to subdirectory4.x
-rw-r--r--CoolGirl_rev4.x/CoolGirl.qpf (renamed from CoolGirl.qpf)0
-rw-r--r--CoolGirl_rev4.x/CoolGirl.qsf (renamed from CoolGirl.qsf)4
-rw-r--r--CoolGirl_rev4.x/CoolGirl.v (renamed from CoolGirl.v)4
-rw-r--r--CoolGirl_rev4.x/board/1 - Top.gbr (renamed from board/1 - Top.gbr)0
-rw-r--r--CoolGirl_rev4.x/board/2 - Inner 1.gbr (renamed from board/2 - Inner 1.gbr)0
-rw-r--r--CoolGirl_rev4.x/board/3 - Inner 2.gbr (renamed from board/3 - Inner 2.gbr)0
-rw-r--r--CoolGirl_rev4.x/board/4 - Bottom.gbr (renamed from board/4 - Bottom.gbr)0
-rw-r--r--CoolGirl_rev4.x/board/BoardOutline.gbr (renamed from board/BoardOutline.gbr)0
-rw-r--r--CoolGirl_rev4.x/board/BottomMask.gbr (renamed from board/BottomMask.gbr)0
-rw-r--r--CoolGirl_rev4.x/board/BottomSilk.gbr (renamed from board/BottomSilk.gbr)0
-rw-r--r--CoolGirl_rev4.x/board/NonPlated_Through.drl (renamed from board/NonPlated_Through.drl)0
-rw-r--r--CoolGirl_rev4.x/board/Plated_Through.drl (renamed from board/Plated_Through.drl)0
-rw-r--r--CoolGirl_rev4.x/board/Through.drl (renamed from board/Through.drl)0
-rw-r--r--CoolGirl_rev4.x/board/TopMask.gbr (renamed from board/TopMask.gbr)0
-rw-r--r--CoolGirl_rev4.x/board/TopPaste.gbr (renamed from board/TopPaste.gbr)0
-rw-r--r--CoolGirl_rev4.x/board/TopSilk.gbr (renamed from board/TopSilk.gbr)0
-rw-r--r--CoolGirl_rev4.x/board/bom.xlsx (renamed from board/bom.xlsx)bin9968 -> 9968 bytes
-rw-r--r--CoolGirl_rev4.x/board/coolgirl.dip (renamed from board/coolgirl.dip)bin2030523 -> 2030523 bytes
-rw-r--r--CoolGirl_rev4.x/board/pickplace.xlsx (renamed from board/pickplace.xlsx)bin11159 -> 11159 bytes
-rw-r--r--CoolGirl_rev4.x/schematics/coolgirl.dch (renamed from schematics/coolgirl.dch)bin550105 -> 550105 bytes
-rw-r--r--CoolGirl_rev4.x/schematics/coolgirl.png (renamed from schematics/coolgirl.png)bin520260 -> 520260 bytes
21 files changed, 4 insertions, 4 deletions
diff --git a/CoolGirl.qpf b/CoolGirl_rev4.x/CoolGirl.qpf
index 11d815a..11d815a 100644
--- a/CoolGirl.qpf
+++ b/CoolGirl_rev4.x/CoolGirl.qpf
diff --git a/CoolGirl.qsf b/CoolGirl_rev4.x/CoolGirl.qsf
index 8d5e4fe..a88ab77 100644
--- a/CoolGirl.qsf
+++ b/CoolGirl_rev4.x/CoolGirl.qsf
@@ -171,7 +171,7 @@ set_location_assignment PIN_133 -to ppu_addr_out[18]
set_location_assignment PIN_5 -to sram_addr_out[14]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cpu_addr_in
set_location_assignment PIN_20 -to ppu_rd_in
-set_global_assignment -name VERILOG_INCLUDE_FILE CoolGirl_mappers.vh
-set_global_assignment -name VERILOG_INCLUDE_FILE CoolGirl_config.vh
+set_global_assignment -name VERILOG_INCLUDE_FILE ../CoolGirl_mappers.vh
+set_global_assignment -name VERILOG_INCLUDE_FILE ../CoolGirl_config.vh
set_global_assignment -name VERILOG_FILE CoolGirl.v
set_global_assignment -name CDF_FILE CoolGirl.cdf \ No newline at end of file
diff --git a/CoolGirl.v b/CoolGirl_rev4.x/CoolGirl.v
index 53bf82c..f2332fa 100644
--- a/CoolGirl.v
+++ b/CoolGirl_rev4.x/CoolGirl.v
@@ -3,7 +3,7 @@
*/
module CoolGirl # (
- `include "CoolGirl_config.vh"
+ `include "../CoolGirl_config.vh"
)
(
input m2,
@@ -87,6 +87,6 @@ module CoolGirl # (
end
end
-`include "CoolGirl_mappers.vh"
+`include "../CoolGirl_mappers.vh"
endmodule
diff --git a/board/1 - Top.gbr b/CoolGirl_rev4.x/board/1 - Top.gbr
index a27a0ee..a27a0ee 100644
--- a/board/1 - Top.gbr
+++ b/CoolGirl_rev4.x/board/1 - Top.gbr
diff --git a/board/2 - Inner 1.gbr b/CoolGirl_rev4.x/board/2 - Inner 1.gbr
index d28672b..d28672b 100644
--- a/board/2 - Inner 1.gbr
+++ b/CoolGirl_rev4.x/board/2 - Inner 1.gbr
diff --git a/board/3 - Inner 2.gbr b/CoolGirl_rev4.x/board/3 - Inner 2.gbr
index a84a73c..a84a73c 100644
--- a/board/3 - Inner 2.gbr
+++ b/CoolGirl_rev4.x/board/3 - Inner 2.gbr
diff --git a/board/4 - Bottom.gbr b/CoolGirl_rev4.x/board/4 - Bottom.gbr
index 2df1af1..2df1af1 100644
--- a/board/4 - Bottom.gbr
+++ b/CoolGirl_rev4.x/board/4 - Bottom.gbr
diff --git a/board/BoardOutline.gbr b/CoolGirl_rev4.x/board/BoardOutline.gbr
index b0bd0c9..b0bd0c9 100644
--- a/board/BoardOutline.gbr
+++ b/CoolGirl_rev4.x/board/BoardOutline.gbr
diff --git a/board/BottomMask.gbr b/CoolGirl_rev4.x/board/BottomMask.gbr
index 2da92fe..2da92fe 100644
--- a/board/BottomMask.gbr
+++ b/CoolGirl_rev4.x/board/BottomMask.gbr
diff --git a/board/BottomSilk.gbr b/CoolGirl_rev4.x/board/BottomSilk.gbr
index 398a435..398a435 100644
--- a/board/BottomSilk.gbr
+++ b/CoolGirl_rev4.x/board/BottomSilk.gbr
diff --git a/board/NonPlated_Through.drl b/CoolGirl_rev4.x/board/NonPlated_Through.drl
index afe212c..afe212c 100644
--- a/board/NonPlated_Through.drl
+++ b/CoolGirl_rev4.x/board/NonPlated_Through.drl
diff --git a/board/Plated_Through.drl b/CoolGirl_rev4.x/board/Plated_Through.drl
index ab92c6a..ab92c6a 100644
--- a/board/Plated_Through.drl
+++ b/CoolGirl_rev4.x/board/Plated_Through.drl
diff --git a/board/Through.drl b/CoolGirl_rev4.x/board/Through.drl
index 10aa386..10aa386 100644
--- a/board/Through.drl
+++ b/CoolGirl_rev4.x/board/Through.drl
diff --git a/board/TopMask.gbr b/CoolGirl_rev4.x/board/TopMask.gbr
index f4cdc59..f4cdc59 100644
--- a/board/TopMask.gbr
+++ b/CoolGirl_rev4.x/board/TopMask.gbr
diff --git a/board/TopPaste.gbr b/CoolGirl_rev4.x/board/TopPaste.gbr
index f4c9355..f4c9355 100644
--- a/board/TopPaste.gbr
+++ b/CoolGirl_rev4.x/board/TopPaste.gbr
diff --git a/board/TopSilk.gbr b/CoolGirl_rev4.x/board/TopSilk.gbr
index 626ad0f..626ad0f 100644
--- a/board/TopSilk.gbr
+++ b/CoolGirl_rev4.x/board/TopSilk.gbr
diff --git a/board/bom.xlsx b/CoolGirl_rev4.x/board/bom.xlsx
index bba729d..bba729d 100644
--- a/board/bom.xlsx
+++ b/CoolGirl_rev4.x/board/bom.xlsx
Binary files differ
diff --git a/board/coolgirl.dip b/CoolGirl_rev4.x/board/coolgirl.dip
index a1b1280..a1b1280 100644
--- a/board/coolgirl.dip
+++ b/CoolGirl_rev4.x/board/coolgirl.dip
Binary files differ
diff --git a/board/pickplace.xlsx b/CoolGirl_rev4.x/board/pickplace.xlsx
index 5146138..5146138 100644
--- a/board/pickplace.xlsx
+++ b/CoolGirl_rev4.x/board/pickplace.xlsx
Binary files differ
diff --git a/schematics/coolgirl.dch b/CoolGirl_rev4.x/schematics/coolgirl.dch
index 455b488..455b488 100644
--- a/schematics/coolgirl.dch
+++ b/CoolGirl_rev4.x/schematics/coolgirl.dch
Binary files differ
diff --git a/schematics/coolgirl.png b/CoolGirl_rev4.x/schematics/coolgirl.png
index f451343..f451343 100644
--- a/schematics/coolgirl.png
+++ b/CoolGirl_rev4.x/schematics/coolgirl.png
Binary files differ