Welcome to mirror list, hosted at ThFree Co, Russian Federation.

github.com/coolgirl-multicart/coolgirl-famicom-multicart.git - Unnamed repository; edit this file 'description' to name the repository.
summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorAlexey 'Cluster' Avdyukhin <clusterrr@clusterrr.com>2020-09-15 00:25:46 +0300
committerAlexey 'Cluster' Avdyukhin <clusterrr@clusterrr.com>2020-09-15 00:27:35 +0300
commit6214002431962bc20a9d96f19a649e09f1b36eb2 (patch)
tree8c7f41e908a0e7be9fe7f92034b0afae1155d32e
parent625340e564cc53218315adf2e10521768eaab153 (diff)
Added files to project
-rw-r--r--CoolGirl.qsf8
-rw-r--r--CoolGirl.v2
2 files changed, 6 insertions, 4 deletions
diff --git a/CoolGirl.qsf b/CoolGirl.qsf
index 93b0cdb..f95b5ce 100644
--- a/CoolGirl.qsf
+++ b/CoolGirl.qsf
@@ -43,7 +43,6 @@ set_global_assignment -name TOP_LEVEL_ENTITY CoolGirl
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 15.1.0
set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:53:22 MAY 05, 2016"
set_global_assignment -name LAST_QUARTUS_VERSION "20.1.0 Lite Edition"
-set_global_assignment -name VERILOG_FILE CoolGirl.v
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
@@ -133,7 +132,6 @@ set_location_assignment PIN_11 -to ppu_addr_in[9]
set_location_assignment PIN_89 -to m2
set_location_assignment PIN_4 -to ppu_addr_out[13]
set_location_assignment PIN_103 -to sram_oe
-set_global_assignment -name CDF_FILE CoolGirl.cdf
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR"
set_location_assignment PIN_98 -to ppu_not_a13_out
set_location_assignment PIN_2 -to ppu_addr_out[18]
@@ -144,4 +142,8 @@ set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to m2
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to ppu_wr_in
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to romsel
set_global_assignment -name OPTIMIZATION_MODE BALANCED
-set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to ppu_not_a13 \ No newline at end of file
+set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to ppu_not_a13
+set_global_assignment -name VERILOG_INCLUDE_FILE CoolGirl_mappers.vh
+set_global_assignment -name VERILOG_INCLUDE_FILE CoolGirl_config.vh
+set_global_assignment -name VERILOG_FILE CoolGirl.v
+set_global_assignment -name CDF_FILE CoolGirl.cdf \ No newline at end of file
diff --git a/CoolGirl.v b/CoolGirl.v
index c88e77c..b414bcf 100644
--- a/CoolGirl.v
+++ b/CoolGirl.v
@@ -3,7 +3,7 @@
*/
module CoolGirl # (
- `include "CoolGirl_config.vh"
+ `include "CoolGirl_config.vh"
)
(
input m2,