Welcome to mirror list, hosted at ThFree Co, Russian Federation.

github.com/coolgirl-multicart/coolgirl-famicom-multicart.git - Unnamed repository; edit this file 'description' to name the repository.
summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorAlexey 'Cluster' Avdyukhin <clusterrr@clusterrr.com>2020-10-07 18:19:41 +0300
committerAlexey 'Cluster' Avdyukhin <clusterrr@clusterrr.com>2020-10-07 18:19:41 +0300
commitb4263e5776843104fe593469ecc101a47ae8bd95 (patch)
tree146252af0b9fcfe4574ac67e566f02889b851ce2
parent77da7fe4d859d1271c576de8d2f3219eb83b9928 (diff)
Revision 2.x fix
-rw-r--r--CoolGirl_mappers.vh1
-rw-r--r--CoolGirl_rev2.x/CoolGirl.qsf7
-rw-r--r--CoolGirl_rev2.x/CoolGirl.v4
3 files changed, 7 insertions, 5 deletions
diff --git a/CoolGirl_mappers.vh b/CoolGirl_mappers.vh
index 0380afd..d7b1948 100644
--- a/CoolGirl_mappers.vh
+++ b/CoolGirl_mappers.vh
@@ -906,6 +906,7 @@ begin
end
3'b010: if (!ENABLE_MAPPER_206 | ~flags[2]) // disabled for mapper #206
mirroring = {1'b0, cpu_data_in[0]}; // $A000-$BFFE, even (mirroring)
+ 3'b011: ; // RAM protect... no
3'b100: mmc3_irq_latch = cpu_data_in; // $C000-$DFFE, even (IRQ latch)
3'b101: mmc3_irq_reload = 1; // $C001-$DFFF, odd
3'b110: mmc3_irq_enabled = 0; // $E000-$FFFE, even
diff --git a/CoolGirl_rev2.x/CoolGirl.qsf b/CoolGirl_rev2.x/CoolGirl.qsf
index d672e83..91872d8 100644
--- a/CoolGirl_rev2.x/CoolGirl.qsf
+++ b/CoolGirl_rev2.x/CoolGirl.qsf
@@ -150,7 +150,6 @@ set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to sram_we
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to sram_ce
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to ppu_ciram_ce
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to ppu_not_a13_out
-set_global_assignment -name VERILOG_INCLUDE_FILE CoolGirl_mappers.vh
-set_global_assignment -name VERILOG_INCLUDE_FILE CoolGirl_config.vh
-set_global_assignment -name VERILOG_FILE ../CoolGirl.v
-set_global_assignment -name CDF_FILE ../CoolGirl.cdf \ No newline at end of file
+set_global_assignment -name VERILOG_INCLUDE_FILE ../CoolGirl_mappers.vh
+set_global_assignment -name VERILOG_INCLUDE_FILE ../CoolGirl_config.vh
+set_global_assignment -name VERILOG_FILE CoolGirl.v \ No newline at end of file
diff --git a/CoolGirl_rev2.x/CoolGirl.v b/CoolGirl_rev2.x/CoolGirl.v
index 088d7df..a0be5c7 100644
--- a/CoolGirl_rev2.x/CoolGirl.v
+++ b/CoolGirl_rev2.x/CoolGirl.v
@@ -41,7 +41,9 @@ module CoolGirl # (
assign cpu_addr_out[26:13] = {prg_base[26:14] | (prg_addr_mapped[20:14] & ~prg_mask[20:14]), prg_addr_mapped[13]};
assign sram_addr_out[14:13] = sram_page[1:0];
- assign ppu_addr_out[17:10] = ext_ntram_access ? {6'b111111, ppu_addr_in[11:10]} : {ppu_addr_mapped[17:13] & ~chr_mask[17:13], ppu_addr_mapped[12:10]};
+ assign ppu_addr_out[17:10] = ext_ntram_access
+ ? {6'b111111, ppu_addr_in[11:10]}
+ : {chr_addr_mapped[17:13] & ~chr_mask[17:13], chr_addr_mapped[12:10]};
assign cpu_data_in = cpu_data_out_enabled ? cpu_data_out : 8'bZZZZZZZZ;
wire flash_ce_w = ~(~romsel | (m2 & map_rom_on_6000 & cpu_addr_in[14] & cpu_addr_in[13]));