Welcome to mirror list, hosted at ThFree Co, Russian Federation.

github.com/coolgirl-multicart/coolgirl-famicom-multicart.git - Unnamed repository; edit this file 'description' to name the repository.
summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorAlexey 'Cluster' Avdyukhin <clusterrr@clusterrr.com>2020-09-15 10:59:01 +0300
committerAlexey 'Cluster' Avdyukhin <clusterrr@clusterrr.com>2020-09-15 11:00:16 +0300
commitba26967a4dfcf3db9b6a9de60b52f730335cc402 (patch)
tree471b7ced99514be232a9dcec288399f7eac9bd1e
parentbb203c30af158dd90c312dcfb941d90938fca461 (diff)
Moving to subdirectory3.x
-rw-r--r--CoolGirl_rev3.x/CoolGirl.qpf (renamed from CoolGirl.qpf)0
-rw-r--r--CoolGirl_rev3.x/CoolGirl.qsf (renamed from CoolGirl.qsf)4
-rw-r--r--CoolGirl_rev3.x/CoolGirl.v (renamed from CoolGirl.v)4
-rw-r--r--CoolGirl_rev3.x/board/1 - Top.gbr (renamed from board/1 - Top.gbr)0
-rw-r--r--CoolGirl_rev3.x/board/2 - Inner 1.gbr (renamed from board/2 - Inner 1.gbr)0
-rw-r--r--CoolGirl_rev3.x/board/3 - Inner 2.gbr (renamed from board/3 - Inner 2.gbr)0
-rw-r--r--CoolGirl_rev3.x/board/4 - Bottom.gbr (renamed from board/4 - Bottom.gbr)0
-rw-r--r--CoolGirl_rev3.x/board/BoardOutline.gbr (renamed from board/BoardOutline.gbr)0
-rw-r--r--CoolGirl_rev3.x/board/BottomMask.gbr (renamed from board/BottomMask.gbr)0
-rw-r--r--CoolGirl_rev3.x/board/BottomSilk.gbr (renamed from board/BottomSilk.gbr)0
-rw-r--r--CoolGirl_rev3.x/board/NonPlated_Through.drl (renamed from board/NonPlated_Through.drl)0
-rw-r--r--CoolGirl_rev3.x/board/Plated_Through.drl (renamed from board/Plated_Through.drl)0
-rw-r--r--CoolGirl_rev3.x/board/Through.drl (renamed from board/Through.drl)0
-rw-r--r--CoolGirl_rev3.x/board/TopMask.gbr (renamed from board/TopMask.gbr)0
-rw-r--r--CoolGirl_rev3.x/board/TopPaste.gbr (renamed from board/TopPaste.gbr)0
-rw-r--r--CoolGirl_rev3.x/board/TopSilk.gbr (renamed from board/TopSilk.gbr)0
-rw-r--r--CoolGirl_rev3.x/board/bom.xlsx (renamed from board/bom.xlsx)bin11032 -> 11032 bytes
-rw-r--r--CoolGirl_rev3.x/board/coolgirl.dip (renamed from board/coolgirl.dip)bin1576695 -> 1576695 bytes
-rw-r--r--CoolGirl_rev3.x/board/pickplace.xlsx (renamed from board/pickplace.xlsx)bin11527 -> 11527 bytes
-rw-r--r--CoolGirl_rev3.x/schematics/coolgirl.dch (renamed from schematics/coolgirl.dch)bin570892 -> 570892 bytes
-rw-r--r--CoolGirl_rev3.x/schematics/coolgirl.png (renamed from schematics/coolgirl.png)bin500182 -> 500182 bytes
21 files changed, 4 insertions, 4 deletions
diff --git a/CoolGirl.qpf b/CoolGirl_rev3.x/CoolGirl.qpf
index 11d815a..11d815a 100644
--- a/CoolGirl.qpf
+++ b/CoolGirl_rev3.x/CoolGirl.qpf
diff --git a/CoolGirl.qsf b/CoolGirl_rev3.x/CoolGirl.qsf
index f95b5ce..26349c5 100644
--- a/CoolGirl.qsf
+++ b/CoolGirl_rev3.x/CoolGirl.qsf
@@ -143,7 +143,7 @@ set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to ppu_wr_in
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to romsel
set_global_assignment -name OPTIMIZATION_MODE BALANCED
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to ppu_not_a13
-set_global_assignment -name VERILOG_INCLUDE_FILE CoolGirl_mappers.vh
-set_global_assignment -name VERILOG_INCLUDE_FILE CoolGirl_config.vh
+set_global_assignment -name VERILOG_INCLUDE_FILE ../CoolGirl_mappers.vh
+set_global_assignment -name VERILOG_INCLUDE_FILE ../CoolGirl_config.vh
set_global_assignment -name VERILOG_FILE CoolGirl.v
set_global_assignment -name CDF_FILE CoolGirl.cdf \ No newline at end of file
diff --git a/CoolGirl.v b/CoolGirl_rev3.x/CoolGirl.v
index c77b720..a9bf58e 100644
--- a/CoolGirl.v
+++ b/CoolGirl_rev3.x/CoolGirl.v
@@ -3,7 +3,7 @@
*/
module CoolGirl # (
- `include "CoolGirl_config.vh"
+ `include "../CoolGirl_config.vh"
)
(
input m2,
@@ -87,6 +87,6 @@ module CoolGirl # (
end
end
-`include "CoolGirl_mappers.vh"
+`include "../CoolGirl_mappers.vh"
endmodule
diff --git a/board/1 - Top.gbr b/CoolGirl_rev3.x/board/1 - Top.gbr
index 9dfb161..9dfb161 100644
--- a/board/1 - Top.gbr
+++ b/CoolGirl_rev3.x/board/1 - Top.gbr
diff --git a/board/2 - Inner 1.gbr b/CoolGirl_rev3.x/board/2 - Inner 1.gbr
index af2d4c8..af2d4c8 100644
--- a/board/2 - Inner 1.gbr
+++ b/CoolGirl_rev3.x/board/2 - Inner 1.gbr
diff --git a/board/3 - Inner 2.gbr b/CoolGirl_rev3.x/board/3 - Inner 2.gbr
index 5d7fc7a..5d7fc7a 100644
--- a/board/3 - Inner 2.gbr
+++ b/CoolGirl_rev3.x/board/3 - Inner 2.gbr
diff --git a/board/4 - Bottom.gbr b/CoolGirl_rev3.x/board/4 - Bottom.gbr
index 29f6022..29f6022 100644
--- a/board/4 - Bottom.gbr
+++ b/CoolGirl_rev3.x/board/4 - Bottom.gbr
diff --git a/board/BoardOutline.gbr b/CoolGirl_rev3.x/board/BoardOutline.gbr
index 49be3ce..49be3ce 100644
--- a/board/BoardOutline.gbr
+++ b/CoolGirl_rev3.x/board/BoardOutline.gbr
diff --git a/board/BottomMask.gbr b/CoolGirl_rev3.x/board/BottomMask.gbr
index 5486db8..5486db8 100644
--- a/board/BottomMask.gbr
+++ b/CoolGirl_rev3.x/board/BottomMask.gbr
diff --git a/board/BottomSilk.gbr b/CoolGirl_rev3.x/board/BottomSilk.gbr
index 693fa59..693fa59 100644
--- a/board/BottomSilk.gbr
+++ b/CoolGirl_rev3.x/board/BottomSilk.gbr
diff --git a/board/NonPlated_Through.drl b/CoolGirl_rev3.x/board/NonPlated_Through.drl
index 1858c83..1858c83 100644
--- a/board/NonPlated_Through.drl
+++ b/CoolGirl_rev3.x/board/NonPlated_Through.drl
diff --git a/board/Plated_Through.drl b/CoolGirl_rev3.x/board/Plated_Through.drl
index 50e8f60..50e8f60 100644
--- a/board/Plated_Through.drl
+++ b/CoolGirl_rev3.x/board/Plated_Through.drl
diff --git a/board/Through.drl b/CoolGirl_rev3.x/board/Through.drl
index 10aa386..10aa386 100644
--- a/board/Through.drl
+++ b/CoolGirl_rev3.x/board/Through.drl
diff --git a/board/TopMask.gbr b/CoolGirl_rev3.x/board/TopMask.gbr
index 59d1bd3..59d1bd3 100644
--- a/board/TopMask.gbr
+++ b/CoolGirl_rev3.x/board/TopMask.gbr
diff --git a/board/TopPaste.gbr b/CoolGirl_rev3.x/board/TopPaste.gbr
index 747843b..747843b 100644
--- a/board/TopPaste.gbr
+++ b/CoolGirl_rev3.x/board/TopPaste.gbr
diff --git a/board/TopSilk.gbr b/CoolGirl_rev3.x/board/TopSilk.gbr
index 658aa85..658aa85 100644
--- a/board/TopSilk.gbr
+++ b/CoolGirl_rev3.x/board/TopSilk.gbr
diff --git a/board/bom.xlsx b/CoolGirl_rev3.x/board/bom.xlsx
index 47a0003..47a0003 100644
--- a/board/bom.xlsx
+++ b/CoolGirl_rev3.x/board/bom.xlsx
Binary files differ
diff --git a/board/coolgirl.dip b/CoolGirl_rev3.x/board/coolgirl.dip
index ea97c21..ea97c21 100644
--- a/board/coolgirl.dip
+++ b/CoolGirl_rev3.x/board/coolgirl.dip
Binary files differ
diff --git a/board/pickplace.xlsx b/CoolGirl_rev3.x/board/pickplace.xlsx
index 8481314..8481314 100644
--- a/board/pickplace.xlsx
+++ b/CoolGirl_rev3.x/board/pickplace.xlsx
Binary files differ
diff --git a/schematics/coolgirl.dch b/CoolGirl_rev3.x/schematics/coolgirl.dch
index afa6358..afa6358 100644
--- a/schematics/coolgirl.dch
+++ b/CoolGirl_rev3.x/schematics/coolgirl.dch
Binary files differ
diff --git a/schematics/coolgirl.png b/CoolGirl_rev3.x/schematics/coolgirl.png
index cd076b5..cd076b5 100644
--- a/schematics/coolgirl.png
+++ b/CoolGirl_rev3.x/schematics/coolgirl.png
Binary files differ