Welcome to mirror list, hosted at ThFree Co, Russian Federation.

github.com/coolgirl-multicart/coolgirl-famicom-multicart.git - Unnamed repository; edit this file 'description' to name the repository.
summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
Diffstat (limited to 'CoolGirl_rev5.x/CoolGirl.qsf')
-rw-r--r--CoolGirl_rev5.x/CoolGirl.qsf17
1 files changed, 1 insertions, 16 deletions
diff --git a/CoolGirl_rev5.x/CoolGirl.qsf b/CoolGirl_rev5.x/CoolGirl.qsf
index a87d14a..1e0a973 100644
--- a/CoolGirl_rev5.x/CoolGirl.qsf
+++ b/CoolGirl_rev5.x/CoolGirl.qsf
@@ -128,11 +128,10 @@ set_location_assignment PIN_30 -to sram_addr_out[13]
set_location_assignment PIN_11 -to ppu_addr_in[9]
set_location_assignment PIN_89 -to m2
set_location_assignment PIN_4 -to ppu_addr_out[13]
-<<<<<<< HEAD:CoolGirl_rev5.x/CoolGirl.qsf
set_location_assignment PIN_28 -to sram_oe
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR"
set_location_assignment PIN_93 -to cpu_shifers_oe
-set_location_assignment PIN_2 -to ppu_ce2_out
+set_location_assignment PIN_2 -to ppu_addr_out[18]
set_location_assignment PIN_20 -to ppu_rd_in
set_location_assignment PIN_31 -to sram_addr_out[14]
set_location_assignment PIN_75 -to cpu_addr_in[1]
@@ -159,20 +158,6 @@ set_global_assignment -name OPTIMIZATION_MODE BALANCED
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cpu_dir
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ppu_rd_in
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sram_addr_out[14]
-=======
-set_location_assignment PIN_103 -to sram_oe
-set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR"
-set_location_assignment PIN_98 -to ppu_not_a13_out
-set_location_assignment PIN_2 -to ppu_addr_out[18]
-set_location_assignment PIN_102 -to cpu_shifers_oe
-set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to cpu_rw_in
-set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to irq
-set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to m2
-set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to ppu_wr_in
-set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to romsel
-set_global_assignment -name OPTIMIZATION_MODE BALANCED
-set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to ppu_not_a13
->>>>>>> 3.x:CoolGirl_rev3.x/CoolGirl.qsf
set_global_assignment -name VERILOG_INCLUDE_FILE ../CoolGirl_mappers.vh
set_global_assignment -name VERILOG_INCLUDE_FILE ../CoolGirl_config.vh
set_global_assignment -name VERILOG_FILE CoolGirl.v